[Vorbis] ov_open_callbacks takes so much time to open 210 MB OGG file

Ralph Giles giles at thaumas.net
Tue Dec 18 09:54:41 PST 2012


On 12-12-16 3:09 PM, Pavel Nikitenko wrote:

>      vorbis_analysis_wrote(&vd,0);

I think you need another loop here to pull out any remaining encoded
data? E.g. for each block from vorbis_analysis_blockout() call
vorbis_analysis(), vorbis_bitrate_addblock(),
vorbis_bitrate_flushpacket(), ogg_stream_packetin().

For the insane delay, I don't know. One of those N^2 time things with
the Windows POSIX file calls?

 -r


More information about the Vorbis mailing list