[xiph-commits] r11489 - in trunk/theora-fpga: . idctslow idctslow/golden

portavales at svn.xiph.org portavales at svn.xiph.org
Wed May 31 23:14:27 PDT 2006


Author: portavales
Date: 2006-05-31 23:14:15 -0700 (Wed, 31 May 2006)
New Revision: 11489

Added:
   trunk/theora-fpga/idctslow/
   trunk/theora-fpga/idctslow/Makefile
   trunk/theora-fpga/idctslow/golden/
   trunk/theora-fpga/idctslow/golden/IN.TB
   trunk/theora-fpga/idctslow/golden/IN_QUANT.TB
   trunk/theora-fpga/idctslow/golden/OUT.EXPECTED.TB
   trunk/theora-fpga/idctslow/idctslow.vhd
   trunk/theora-fpga/idctslow/tb_idctslow.vhd
Log:
first version of idctslow module

Added: trunk/theora-fpga/idctslow/Makefile
===================================================================
--- trunk/theora-fpga/idctslow/Makefile	2006-06-01 05:18:14 UTC (rev 11488)
+++ trunk/theora-fpga/idctslow/Makefile	2006-06-01 06:14:15 UTC (rev 11489)
@@ -0,0 +1,37 @@
+
+all: elaborate
+	@echo "PLEASE, DO: make run"
+
+idctslow.o: idctslow.vhd
+	ghdl -a --ieee=synopsys  idctslow.vhd
+
+tb_idctslow.o: tb_idctslow.vhd
+	ghdl -a --ieee=synopsys  tb_idctslow.vhd
+
+elaborate: idctslow.o tb_idctslow.o
+	ghdl -e  --ieee=synopsys tb_idctslow
+
+
+run: tb_idctslow
+	@echo "Running... wait some minutes"
+	@echo "Remember to do: make compare"
+	ghdl -r tb_idctslow
+
+compare:
+	@if diff OUT.DUV golden/OUT.EXPECTED.TB ; then \
+	  echo -e "\033[32;1m     ***       Simulation PASSED       *** \033[0m"; \
+        else \
+	  echo -e "\033[31;1m!!!!!!!!!   Simulation FAILED   !!!!!!!!!\033[0m"; \
+        fi
+
+
+runvcd: elaborate
+	@echo "Running... wait some minutes"
+	ghdl -r tb_idctslow  --vcd=dump.vcd
+
+	@echo "VCD File wrote: dump.vcd"
+clean:
+	rm OUT.DUV
+	rm *.o
+	rm tb_idctslow
+	@echo "done"
\ No newline at end of file

Added: trunk/theora-fpga/idctslow/golden/IN.TB
===================================================================
--- trunk/theora-fpga/idctslow/golden/IN.TB	2006-06-01 05:18:14 UTC (rev 11488)
+++ trunk/theora-fpga/idctslow/golden/IN.TB	2006-06-01 06:14:15 UTC (rev 11489)
@@ -0,0 +1,63936 @@
+-15
+1
+0
+-1
+0
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-17
+0
+-1
+-1
+0
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+22
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+20
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+20
+-2
+-1
+0
+0
+1
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+26
+0
+-2
+-2
+0
+0
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+22
+0
+-1
+-1
+-1
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+20
+1
+0
+-1
+0
+-1
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+11
+0
+-3
+-3
+0
+0
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+12
+-1
+-5
+-3
+1
+0
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-28
+1
+-5
+3
+1
+1
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-30
+1
+-1
+4
+-2
+1
+0
+0
+-2
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-21
+-6
+0
+6
+0
+0
+0
+1
+1
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-16
+2
+-3
+3
+1
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+4
+-1
+2
+-2
+1
+-1
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+-1
+-1
+0
+3
+-4
+0
+-1
+1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+2
+2
+0
+3
+-1
+0
+1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-4
+2
+1
+-1
+-4
+0
+-1
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+4
+0
+0
+3
+-4
+0
+2
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+-1
+0
+-2
+3
+0
+1
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+-7
+2
+0
+-1
+3
+0
+-2
+1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+0
+-2
+2
+-2
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+0
+3
+-1
+3
+-4
+-1
+-1
+1
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-3
+0
+0
+-2
+2
+0
+1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+2
+0
+0
+-1
+1
+0
+1
+-1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+0
+4
+-3
+5
+-2
+0
+0
+1
+0
+0
+0
+2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+2
+-1
+0
+1
+0
+-1
+1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+11
+-5
+3
+0
+4
+-4
+0
+-2
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+34
+-4
+7
+-3
+3
+-2
+0
+0
+0
+1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+31
+-5
+-7
+-1
+-2
+-5
+0
+1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+23
+3
+2
+2
+3
+1
+0
+-2
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+1
+1
+0
+1
+1
+0
+0
+1
+1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+1
+0
+1
+0
+0
+0
+0
+-1
+2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+0
+2
+2
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+1
+1
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+0
+1
+2
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+2
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+0
+3
+3
+1
+-1
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+2
+1
+2
+-1
+1
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-19
+1
+5
+2
+0
+1
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+0
+2
+2
+1
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+0
+2
+2
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-15
+2
+-1
+2
+1
+0
+0
+0
+0
+2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-17
+2
+0
+2
+-1
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-13
+-4
+3
+3
+-1
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+17
+-3
+4
+1
+1
+2
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+10
+8
+-4
+0
+0
+2
+0
+1
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+1
+0
+1
+-1
+-1
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-17
+-3
+6
+3
+-1
+2
+0
+-1
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+0
+4
+2
+0
+-1
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+-1
+2
+2
+0
+1
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+-2
+0
+2
+2
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+0
+2
+1
+0
+-1
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+0
+3
+2
+1
+1
+0
+0
+0
+2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+1
+3
+4
+1
+3
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+1
+3
+2
+-1
+-1
+0
+-1
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-1
+2
+4
+0
+1
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+0
+1
+2
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+1
+3
+0
+-1
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-2
+4
+2
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+2
+2
+2
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-1
+2
+3
+-1
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-2
+3
+3
+0
+1
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+0
+3
+2
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+1
+3
+2
+1
+1
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+13
+-1
+2
+3
+0
+-1
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+10
+0
+2
+1
+-1
+1
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+3
+3
+0
+-1
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+3
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-10
+0
+1
+2
+1
+0
+0
+0
+0
+0
+2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-8
+1
+0
+1
+0
+-1
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-7
+0
+-2
+0
+1
+-1
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-16
+4
+-2
+1
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-61
+1
+3
+2
+0
+0
+0
+0
+0
+2
+2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-49
+-5
+1
+0
+-2
+-2
+0
+1
+2
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+-3
+-3
+0
+2
+1
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+0
+-2
+-1
+0
+1
+-1
+-1
+-1
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-9
+-10
+5
+0
+2
+0
+0
+-4
+2
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-2
+2
+1
+-1
+2
+-1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+19
+0
+1
+1
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-26
+-1
+1
+0
+-3
+3
+-2
+1
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+7
+-6
+0
+5
+-2
+-1
+2
+-2
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-2
+-3
+1
+1
+1
+-1
+0
+-1
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-6
+2
+4
+1
+2
+-1
+-1
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-3
+0
+1
+-1
+2
+-1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+3
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+0
+1
+1
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+4
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+3
+-1
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+7
+6
+-3
+0
+-3
+2
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+3
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-6
+4
+2
+3
+-4
+0
+-1
+2
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+7
+4
+0
+0
+-2
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-5
+4
+0
+2
+2
+2
+-1
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+-8
+3
+-1
+-3
+6
+-1
+-1
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+-3
+-2
+1
+-1
+7
+0
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+13
+-5
+4
+5
+3
+2
+-2
+0
+0
+-1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+15
+-1
+-4
+-2
+0
+3
+1
+2
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+-5
+0
+1
+6
+-2
+1
+1
+0
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+2
+6
+3
+1
+1
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+0
+3
+2
+0
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+0
+3
+2
+0
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-1
+3
+2
+-1
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+0
+8
+4
+0
+0
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+1
+4
+3
+1
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+7
+4
+-2
+0
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+1
+5
+3
+2
+1
+0
+1
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+1
+3
+2
+1
+-1
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+6
+3
+-1
+-1
+0
+-1
+0
+-1
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+6
+3
+0
+0
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+0
+4
+3
+0
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+0
+6
+5
+0
+0
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+0
+7
+6
+-1
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-7
+0
+7
+5
+0
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-7
+0
+7
+6
+0
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+0
+8
+6
+0
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-7
+0
+8
+7
+0
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-7
+0
+8
+6
+0
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+0
+7
+6
+0
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+0
+8
+6
+0
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+0
+9
+6
+0
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+1
+8
+6
+1
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+0
+8
+6
+0
+0
+0
+0
+0
+-3
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+0
+6
+5
+0
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+0
+7
+5
+0
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-7
+-1
+6
+5
+-1
+0
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+0
+7
+6
+-1
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+8
+5
+0
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+8
+5
+0
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+0
+7
+6
+0
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+0
+7
+5
+0
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+-2
+6
+8
+2
+1
+0
+-1
+-3
+-4
+0
+2
+0
+0
+0
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-1
+9
+7
+-1
+2
+0
+-1
+1
+-3
+-1
+-1
+1
+-1
+0
+0
+0
+0
+-1
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+-2
+13
+7
+-2
+0
+0
+0
+0
+-3
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+9
+4
+16
+8
+3
+-4
+0
+-3
+0
+-3
+-3
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+0
+5
+3
+0
+1
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+7
+3
+0
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+7
+5
+0
+0
+0
+0
+0
+-2
+-3
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+7
+-4
+15
+9
+-3
+0
+0
+0
+-1
+-3
+-3
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+7
+4
+12
+6
+4
+0
+0
+0
+1
+-3
+-3
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+-2
+6
+6
+-2
+1
+0
+1
+0
+-3
+-3
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+12
+0
+18
+9
+0
+0
+0
+0
+0
+-4
+-3
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+4
+8
+4
+4
+2
+0
+2
+2
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+3
+1
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+1
+3
+3
+0
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+5
+2
+1
+-1
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+0
+1
+1
+0
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+-2
+4
+3
+-2
+0
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-2
+9
+4
+-1
+0
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+0
+12
+5
+0
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+9
+0
+15
+6
+0
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+10
+0
+13
+5
+0
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+11
+0
+13
+5
+0
+-1
+0
+0
+0
+-3
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+6
+3
+1
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+2
+3
+2
+2
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-1
+3
+2
+-1
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+3
+2
+0
+1
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+1
+5
+3
+0
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+-2
+13
+9
+-1
+-1
+0
+0
+0
+0
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+2
+10
+8
+2
+1
+0
+0
+1
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+7
+-1
+17
+9
+-2
+-1
+0
+-1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+2
+13
+6
+2
+-1
+0
+-1
+1
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+0
+3
+2
+0
+0
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-9
+0
+0
+1
+0
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+0
+6
+3
+-1
+-2
+0
+-2
+-1
+-1
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+7
+-5
+8
+4
+-4
+0
+0
+0
+0
+-1
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+-1
+11
+4
+-1
+1
+0
+1
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+10
+1
+11
+3
+2
+1
+0
+1
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+-5
+-6
+7
+7
+0
+1
+0
+-4
+-3
+0
+0
+-1
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+2
+-11
+10
+-3
+-1
+0
+1
+0
+-2
+-1
+2
+-1
+-1
+0
+0
+0
+1
+0
+-2
+1
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+-2
+-1
+1
+3
+0
+0
+-1
+-2
+0
+0
+1
+1
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-10
+11
+0
+0
+0
+0
+1
+-5
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+2
+0
+0
+-3
+0
+1
+0
+1
+-1
+0
+-1
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-5
+-8
+8
+7
+-1
+0
+1
+-3
+-3
+0
+0
+-1
+0
+0
+0
+0
+0
+1
+1
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+5
+-4
+6
+-7
+0
+-1
+0
+4
+-2
+0
+-1
+-1
+1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+-5
+-8
+8
+7
+0
+0
+1
+-3
+-2
+0
+0
+-1
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+3
+-5
+9
+-3
+3
+-1
+-3
+1
+-4
+1
+0
+1
+2
+0
+0
+0
+-1
+0
+-1
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+4
+-4
+5
+-7
+0
+0
+-1
+4
+-2
+0
+-2
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-3
+-9
+11
+3
+-3
+0
+3
+-1
+-4
+0
+0
+-1
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+-1
+-4
+8
+2
+0
+1
+0
+-3
+-3
+0
+1
+0
+-1
+1
+0
+-1
+1
+-1
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-5
+7
+-4
+-1
+0
+1
+4
+-3
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+-1
+0
+1
+2
+3
+-1
+-2
+-1
+0
+0
+1
+1
+2
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+2
+-2
+2
+-2
+2
+1
+-2
+1
+0
+0
+-1
+1
+-1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+-1
+-5
+8
+3
+0
+-1
+1
+-3
+-4
+1
+2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+3
+-4
+5
+-5
+-1
+0
+1
+4
+-3
+0
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-4
+-10
+10
+5
+-3
+0
+3
+-2
+-3
+0
+0
+-1
+0
+0
+0
+0
+-1
+0
+1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+-5
+11
+4
+-11
+-3
+5
+-4
+0
+-4
+0
+0
+3
+2
+0
+0
+-1
+0
+0
+1
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-1
+4
+3
+8
+-7
+1
+2
+3
+0
+0
+0
+5
+-5
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-6
+-5
+0
+7
+7
+-3
+-3
+0
+0
+0
+0
+-1
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+10
+12
+6
+2
+-3
+1
+-2
+-8
+-4
+0
+0
+-2
+-2
+-2
+-1
+-1
+0
+1
+0
+-1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-2
+3
+4
+-4
+2
+-1
+2
+-2
+2
+1
+-1
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+10
+0
+-1
+-1
+2
+-3
+5
+-2
+0
+-1
+-1
+0
+5
+-2
+0
+1
+1
+-1
+-1
+0
+0
+0
+0
+-1
+2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+9
+3
+4
+-2
+-17
+-5
+0
+0
+1
+1
+0
+0
+0
+1
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-12
+2
+-1
+-1
+3
+1
+-3
+-1
+0
+0
+0
+0
+0
+1
+2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+11
+13
+-2
+11
+4
+0
+-3
+-5
+-7
+-2
+1
+0
+-3
+-2
+-2
+0
+0
+0
+0
+-1
+1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-5
+-3
+14
+-5
+-5
+5
+-3
+3
+-5
+2
+2
+-4
+1
+-1
+0
+0
+0
+0
+2
+0
+0
+1
+0
+-2
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+-5
+6
+0
+6
+-11
+0
+-7
+-4
+4
+-1
+-3
+4
+-1
+0
+0
+0
+0
+0
+1
+1
+0
+-1
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+7
+-16
+-2
+12
+-6
+4
+1
+-2
+5
+-1
+2
+0
+-2
+0
+-1
+0
+1
+-1
+0
+1
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-13
+1
+3
+4
+5
+5
+2
+0
+3
+2
+1
+1
+0
+0
+4
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+17
+2
+3
+-1
+1
+-8
+0
+-2
+2
+1
+-2
+0
+-1
+-2
+0
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+3
+5
+-1
+4
+14
+-4
+2
+-3
+0
+1
+-1
+-1
+1
+1
+-1
+0
+1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+13
+2
+2
+1
+10
+3
+0
+1
+1
+0
+0
+-1
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-16
+-4
+-1
+2
+4
+4
+8
+2
+0
+0
+0
+-2
+-4
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+4
+-1
+6
+0
+-3
+7
+-1
+0
+0
+0
+0
+3
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-10
+1
+2
+-1
+13
+-3
+0
+-1
+1
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-8
+13
+2
+2
+1
+6
+6
+0
+1
+1
+0
+0
+0
+0
+2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+14
+2
+3
+0
+-10
+-9
+-2
+-2
+2
+1
+-2
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+8
+6
+-1
+4
+2
+-3
+2
+0
+0
+0
+0
+1
+0
+-3
+-1
+-1
+2
+0
+1
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+15
+-2
+0
+-3
+-13
+-11
+-2
+2
+4
+-1
+0
+0
+2
+5
+2
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-3
+0
+-4
+3
+2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+9
+-13
+0
+0
+-1
+6
+2
+0
+0
+0
+0
+0
+0
+0
+-3
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+6
+2
+0
+0
+10
+4
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+0
+-8
+4
+3
+2
+-3
+-1
+1
+0
+0
+-2
+0
+3
+-1
+0
+1
+-2
+1
+2
+1
+0
+0
+-1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+13
+9
+-3
+-4
+-3
+-11
+-3
+-5
+2
+4
+-3
+0
+1
+0
+0
+-1
+-2
+0
+1
+-1
+1
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+4
+-10
+-5
+-8
+-11
+-4
+3
+0
+3
+0
+1
+6
+0
+2
+-1
+0
+0
+-1
+0
+1
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+7
+-2
+4
+12
+-1
+-3
+4
+-7
+3
+0
+0
+2
+-1
+-1
+0
+-1
+2
+-2
+0
+1
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+7
+1
+2
+3
+-12
+-13
+1
+-6
+2
+3
+0
+2
+5
+4
+0
+0
+0
+0
+-1
+0
+1
+0
+1
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-9
+-5
+-7
+3
+-8
+4
+-3
+1
+-2
+-2
+0
+6
+0
+0
+0
+0
+-2
+0
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+9
+-13
+-6
+8
+-7
+0
+2
+3
+7
+4
+0
+-1
+-3
+-1
+-2
+0
+0
+0
+-1
+1
+2
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-11
+-9
+-6
+4
+8
+4
+2
+0
+-3
+0
+-1
+0
+0
+0
+2
+0
+1
+0
+0
+1
+1
+0
+-1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+12
+9
+-7
+5
+6
+3
+-4
+0
+-2
+1
+-3
+-1
+0
+-1
+-2
+0
+-1
+0
+0
+2
+2
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-7
+-10
+2
+1
+6
+-2
+3
+2
+4
+-4
+-2
+-1
+-3
+2
+0
+0
+1
+0
+0
+2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+11
+-3
+1
+5
+12
+2
+-2
+-3
+2
+-1
+1
+0
+2
+0
+-1
+0
+0
+1
+0
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+13
+5
+-6
+1
+-6
+-14
+0
+-6
+-4
+2
+-3
+1
+1
+1
+0
+0
+1
+3
+0
+0
+2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+-12
+-10
+1
+1
+-8
+4
+-2
+3
+3
+-3
+-2
+-1
+2
+0
+-1
+1
+-3
+0
+1
+2
+-1
+0
+0
+-1
+2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+7
+-11
+-9
+2
+-4
+7
+-1
+4
+3
+4
+-4
+-1
+-1
+-1
+0
+1
+-1
+0
+0
+0
+2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-7
+7
+-5
+3
+7
+9
+6
+-2
+-3
+1
+-2
+0
+0
+1
+2
+1
+0
+-1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+11
+8
+-8
+5
+4
+-4
+-7
+-2
+-2
+1
+-2
+-1
+1
+-2
+0
+0
+0
+1
+0
+2
+1
+0
+-1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+-6
+-11
+2
+4
+6
+0
+-1
+3
+2
+-3
+-2
+-2
+-3
+1
+-1
+0
+2
+0
+0
+2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+20
+0
+3
+6
+8
+-3
+-2
+-2
+1
+-2
+1
+-3
+-1
+0
+-1
+-1
+-2
+0
+-1
+2
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+12
+3
+-5
+1
+0
+-6
+-4
+1
+1
+3
+-3
+0
+3
+-3
+-2
+0
+-1
+2
+-1
+0
+2
+-1
+0
+0
+1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-4
+6
+1
+-6
+3
+-1
+3
+-1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+7
+-6
+0
+4
+3
+-1
+7
+-6
+0
+0
+2
+-1
+1
+-1
+0
+0
+2
+-1
+-1
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-5
+-9
+6
+7
+2
+-1
+-1
+1
+-2
+1
+-3
+-2
+0
+0
+0
+0
+2
+2
+2
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+10
+16
+0
+-1
+-1
+5
+-4
+-3
+0
+0
+0
+0
+-1
+-2
+-4
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-13
+0
+-1
+2
+-1
+0
+0
+0
+-1
+1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-55
+1
+1
+1
+1
+1
+0
+1
+2
+1
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-9
+1
+2
+2
+1
+0
+0
+0
+1
+2
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-12
+-1
+0
+1
+-1
+1
+0
+1
+-1
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+9
+0
+0
+5
+-1
+-7
+1
+0
+2
+0
+1
+2
+-1
+-2
+0
+-1
+2
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-8
+-3
+-9
+2
+7
+3
+-2
+-4
+0
+2
+0
+-1
+0
+2
+1
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+4
+-10
+-1
+-10
+5
+2
+-5
+1
+2
+-1
+2
+1
+-1
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-40
+-6
+-9
+2
+11
+6
+0
+-4
+-1
+2
+-1
+-2
+0
+0
+-1
+0
+1
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-9
+2
+-8
+-1
+-6
+2
+3
+-4
+0
+1
+0
+1
+1
+-2
+1
+0
+-1
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+7
+0
+1
+4
+-8
+-8
+0
+1
+1
+0
+1
+2
+-2
+2
+2
+-1
+1
+0
+0
+0
+0
+0
+1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-56
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-10
+0
+1
+1
+-2
+2
+0
+2
+-4
+3
+2
+-2
+1
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-9
+5
+6
+9
+6
+-1
+0
+-2
+3
+5
+2
+2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-12
+0
+2
+1
+1
+0
+-1
+0
+1
+2
+0
+1
+0
+-1
+-1
+0
+-1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-8
+1
+2
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-12
+-2
+1
+1
+-1
+1
+0
+1
+1
+1
+1
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-67
+5
+6
+2
+-2
+2
+0
+1
+-2
+1
+1
+-1
+1
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-11
+-3
+0
+0
+-2
+0
+0
+0
+0
+1
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-55
+-1
+0
+0
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-52
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-55
+1
+-1
+0
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-56
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-52
+1
+-1
+1
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-56
+0
+-1
+0
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-57
+0
+-2
+0
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+2
+2
+0
+0
+1
+2
+0
+0
+-1
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-9
+5
+-2
+0
+0
+-1
+0
+0
+0
+-1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+15
+3
+-3
+-2
+0
+-3
+-1
+2
+0
+-2
+-1
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+-1
+1
+-2
+0
+-3
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-7
+1
+2
+-1
+0
+3
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+-2
+1
+-3
+0
+-1
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+0
+1
+-3
+0
+1
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+0
+0
+-3
+1
+0
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+2
+-2
+-1
+0
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+-3
+2
+-3
+1
+0
+0
+0
+0
+-3
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+3
+0
+-2
+-1
+0
+0
+0
+0
+-3
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+4
+-2
+0
+0
+0
+0
+0
+-3
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+-1
+2
+-3
+0
+0
+0
+0
+0
+-3
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+-4
+0
+0
+0
+0
+0
+-3
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-3
+0
+0
+0
+0
+0
+-3
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+0
+-1
+-4
+0
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+0
+-3
+-4
+0
+0
+0
+0
+0
+-3
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+0
+0
+-3
+0
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+0
+1
+-3
+1
+0
+0
+0
+0
+-3
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+0
+-1
+-3
+-1
+0
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-3
+1
+0
+0
+0
+0
+-3
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+2
+-2
+-2
+0
+1
+0
+0
+-1
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-1
+-2
+-2
+0
+0
+0
+0
+0
+-3
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+-2
+-3
+0
+0
+0
+0
+0
+-3
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+0
+-2
+-3
+0
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+-1
+-3
+0
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-3
+0
+0
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+0
+-2
+-4
+0
+0
+0
+0
+0
+-3
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+-4
+0
+0
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+-2
+-4
+1
+0
+0
+0
+0
+-3
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+0
+-3
+-3
+0
+0
+0
+0
+0
+-4
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+14
+2
+3
+-2
+3
+2
+-1
+-1
+0
+-4
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+16
+4
+2
+-2
+0
+0
+0
+0
+-1
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+29
+-11
+1
+-6
+1
+0
+1
+0
+2
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+26
+12
+0
+-4
+-3
+-2
+0
+0
+-1
+-3
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+-3
+1
+-3
+1
+-1
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+0
+2
+-2
+-1
+1
+0
+0
+0
+-3
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+3
+1
+-5
+2
+0
+0
+0
+-1
+-3
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-7
+6
+-2
+0
+-3
+-1
+0
+1
+-1
+-2
+-1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+-6
+4
+-4
+3
+-3
+0
+0
+1
+-4
+-3
+0
+1
+0
+0
+0
+0
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+18
+-4
+-2
+-5
+2
+0
+0
+0
+0
+-5
+-3
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+2
+3
+-4
+-1
+0
+0
+0
+0
+-3
+-3
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+7
+-7
+0
+-5
+3
+-1
+0
+0
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+13
+1
+-3
+-2
+0
+1
+0
+0
+0
+-3
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+12
+2
+-4
+-1
+-2
+-1
+0
+0
+0
+-3
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+-3
+-1
+-1
+-1
+0
+0
+0
+1
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+5
+-2
+-1
+2
+0
+0
+-1
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-24
+3
+-1
+-5
+0
+-1
+0
+0
+0
+-3
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-28
+-3
+-1
+-4
+2
+1
+0
+-1
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-12
+-2
+5
+0
+0
+1
+0
+-1
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+-4
+0
+-1
+0
+0
+0
+0
+1
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+11
+3
+2
+-3
+-1
+-1
+0
+0
+0
+-3
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+0
+5
+-4
+-1
+0
+0
+0
+0
+-3
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+-5
+-7
+-3
+0
+0
+0
+0
+-4
+-3
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+-5
+-3
+-8
+3
+0
+0
+0
+0
+-5
+-3
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+22
+1
+5
+-7
+0
+0
+0
+0
+0
+-3
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+19
+-1
+2
+-5
+2
+1
+0
+0
+0
+-3
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+36
+0
+0
+-5
+1
+0
+0
+0
+0
+-3
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+35
+3
+-3
+-3
+0
+-1
+0
+0
+-1
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+2
+0
+-2
+0
+1
+0
+-1
+0
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+15
+-5
+0
+-5
+0
+0
+0
+0
+1
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+0
+-6
+-5
+1
+0
+0
+0
+0
+-3
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+3
+-8
+-4
+-2
+0
+0
+1
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+0
+6
+-3
+2
+-1
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+13
+-2
+1
+-3
+2
+-2
+0
+0
+-1
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+0
+-3
+-1
+0
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-1
+-2
+1
+0
+0
+0
+1
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+-2
+4
+-3
+1
+1
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+16
+0
+2
+-4
+-1
+-1
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+2
+-6
+-2
+0
+0
+0
+1
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-8
+-1
+-3
+-2
+1
+0
+0
+0
+1
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+-2
+0
+-3
+1
+3
+-1
+-2
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+11
+0
+-7
+-3
+-1
+-2
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+1
+0
+-2
+2
+-2
+-1
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+2
+-1
+0
+0
+2
+2
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+16
+-6
+3
+-1
+4
+-5
+0
+-2
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+29
+3
+0
+-1
+7
+-7
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-10
+0
+0
+0
+-4
+6
+0
+-1
+1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+-1
+0
+0
+1
+2
+-2
+0
+0
+0
+0
+0
+0
+0
+2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+0
+1
+0
+1
+2
+2
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+-4
+1
+1
+-4
+6
+-1
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-10
+4
+1
+-2
+3
+0
+-1
+1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+7
+-4
+0
+1
+1
+0
+2
+-1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-2
+-3
+1
+0
+4
+-2
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+0
+0
+0
+3
+2
+0
+0
+0
+0
+0
+0
+0
+2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+6
+3
+-1
+-1
+1
+-1
+0
+-1
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+27
+2
+-2
+-1
+6
+-6
+-1
+2
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+-4
+-5
+1
+3
+1
+1
+-2
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-2
+5
+-1
+4
+-2
+1
+-1
+1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+-5
+1
+0
+2
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+0
+0
+0
+3
+2
+0
+0
+0
+0
+0
+0
+0
+2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+32
+8
+-4
+-3
+17
+-12
+-1
+5
+-4
+0
+0
+0
+2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+1
+-1
+2
+-5
+1
+1
+-2
+3
+-1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-2
+0
+0
+0
+1
+-2
+-1
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+3
+0
+0
+0
+2
+2
+0
+0
+0
+0
+0
+0
+0
+2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+53
+-3
+3
+-1
+6
+-5
+-2
+2
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+-3
+7
+0
+-1
+2
+0
+-2
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+2
+-3
+1
+0
+0
+0
+1
+-1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-15
+-6
+-12
+6
+4
+-2
+0
+2
+-1
+-1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+11
+-34
+11
+1
+-4
+5
+3
+-7
+4
+0
+0
+0
+-1
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-3
+-3
+0
+-1
+3
+-2
+1
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+2
+0
+0
+0
+2
+2
+0
+0
+0
+0
+0
+0
+0
+2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+-10
+2
+3
+-2
+-2
+0
+-3
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+4
+1
+-1
+-1
+0
+-3
+2
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+3
+-8
+0
+-2
+0
+0
+1
+-1
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+26
+-33
+11
+-3
+7
+-9
+0
+-5
+2
+0
+-1
+0
+2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+9
+-4
+1
+3
+7
+-4
+0
+0
+2
+-1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+47
+0
+-6
+-1
+0
+-2
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-1
+0
+1
+0
+3
+-2
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+2
+-1
+1
+0
+2
+2
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-4
+4
+0
+3
+0
+-1
+-2
+2
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+20
+0
+0
+-1
+0
+-1
+0
+1
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+-3
+6
+3
+-3
+0
+0
+-1
+1
+0
+0
+1
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+2
+-6
+-1
+7
+-1
+0
+1
+-1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+-5
+-3
+5
+1
+-2
+0
+-1
+0
+-2
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+-19
+-15
+7
+-8
+2
+-1
+3
+0
+1
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+9
+-7
+-2
+0
+7
+2
+0
+2
+1
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+21
+3
+0
+-1
+1
+0
+0
+-2
+-3
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+51
+-2
+-4
+-2
+-2
+0
+0
+1
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+-1
+1
+0
+1
+3
+-2
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+14
+3
+-1
+-1
+1
+1
+2
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+19
+-4
+10
+3
+-4
+-1
+0
+-1
+2
+-1
+0
+2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+32
+-2
+8
+-6
+2
+0
+0
+1
+-1
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+41
+2
+0
+1
+1
+-1
+0
+2
+-2
+1
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+21
+5
+-2
+-6
+3
+0
+0
+0
+-1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+1
+-2
+1
+0
+0
+0
+0
+-1
+1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+13
+-2
+3
+-1
+-2
+0
+0
+-1
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-6
+9
+4
+-7
+1
+0
+0
+-2
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+5
+12
+2
+1
+0
+0
+1
+0
+-1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+-3
+7
+-5
+2
+3
+0
+0
+2
+-2
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+19
+2
+2
+-5
+2
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+25
+2
+-1
+1
+0
+0
+0
+1
+3
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+7
+-3
+0
+1
+0
+-1
+0
+1
+-1
+-1
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+-2
+0
+0
+0
+4
+-1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+2
+3
+1
+0
+2
+2
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+-1
+1
+5
+-1
+0
+0
+0
+-2
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-1
+9
+7
+-7
+2
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+-7
+21
+-6
+4
+0
+0
+-1
+5
+-1
+0
+-2
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+25
+0
+-7
+-1
+4
+-1
+0
+0
+0
+1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-6
+2
+1
+1
+0
+0
+1
+-1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-2
+0
+-1
+0
+2
+-1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+4
+0
+0
+0
+1
+2
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+-2
+2
+3
+-3
+0
+0
+0
+-1
+2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-3
+16
+3
+0
+3
+-1
+0
+3
+-2
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+31
+-1
+7
+-14
+3
+-1
+0
+1
+0
+2
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+22
+4
+-3
+-3
+3
+1
+0
+0
+-2
+1
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-8
+6
+-5
+-7
+7
+-1
+0
+0
+2
+-1
+0
+-1
+2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-2
+0
+0
+-3
+0
+0
+0
+-1
+1
+0
+1
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+19
+1
+0
+0
+-7
+-5
+0
+-1
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+2
+1
+0
+0
+1
+2
+0
+0
+0
+0
+0
+0
+0
+2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-7
+-3
+4
+4
+-5
+4
+-1
+1
+0
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+20
+5
+-2
+0
+0
+-1
+-1
+2
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+3
+-4
+-1
+0
+2
+0
+0
+-3
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+2
+0
+0
+0
+2
+2
+0
+0
+0
+0
+0
+0
+0
+2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+12
+-9
+7
+-1
+2
+-3
+0
+-5
+3
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+-2
+4
+0
+2
+-3
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+0
+0
+0
+2
+2
+0
+0
+0
+0
+0
+0
+0
+2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+0
+-2
+0
+1
+0
+-1
+2
+-1
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+11
+1
+-1
+0
+4
+-3
+-1
+2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+-1
+-2
+3
+2
+2
+0
+0
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+11
+2
+-5
+1
+-1
+2
+2
+0
+0
+-1
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-9
+9
+3
+-9
+3
+0
+0
+0
+0
+0
+1
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+22
+-2
+-4
+-5
+6
+4
+0
+5
+-4
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+44
+12
+-6
+-2
+2
+-6
+-2
+1
+0
+-1
+0
+0
+1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+6
+-4
+1
+5
+-4
+0
+-1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-9
+5
+-6
+3
+-2
+2
+-1
+1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+29
+0
+7
+-6
+1
+1
+2
+-1
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+15
+-7
+20
+3
+8
+-4
+0
+-2
+3
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+16
+2
+0
+0
+-2
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+0
+0
+0
+2
+2
+0
+0
+0
+0
+0
+0
+0
+2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+11
+2
+-9
+-4
+2
+1
+0
+0
+-2
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+16
+4
+1
+-1
+0
+3
+-1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+2
+0
+0
+0
+2
+2
+0
+0
+0
+0
+0
+0
+0
+2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-29
+3
+8
+5
+-6
+1
+0
+0
+-2
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-18
+-8
+11
+5
+2
+0
+0
+0
+2
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+3
+0
+1
+1
+4
+-2
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+2
+2
+0
+0
+0
+0
+0
+0
+0
+2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-7
+-4
+-7
+4
+-4
+-4
+1
+1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-29
+-2
+1
+4
+2
+3
+1
+0
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+32
+-2
+-5
+-2
+0
+-1
+0
+1
+1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+11
+3
+1
+0
+0
+5
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+2
+2
+0
+0
+0
+0
+0
+0
+0
+2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+3
+1
+1
+2
+0
+-1
+1
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+2
+-6
+4
+-1
+-1
+1
+1
+-1
+-1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+40
+1
+0
+-2
+-2
+-3
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+-1
+0
+0
+2
+5
+0
+1
+0
+0
+0
+0
+0
+0
+2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+2
+-1
+-3
+0
+3
+2
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-13
+0
+-4
+1
+-2
+3
+-2
+0
+0
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+21
+-2
+-2
+-1
+-2
+-4
+0
+1
+0
+1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+-7
+0
+1
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+2
+2
+-1
+1
+2
+2
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+-6
+4
+-2
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+1
+1
+-3
+3
+2
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-55
+-5
+-2
+2
+1
+0
+0
+0
+-1
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-13
+-2
+3
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-13
+-4
+0
+2
+0
+0
+-2
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+4
+1
+0
+3
+2
+2
+1
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+2
+4
+-2
+2
+-2
+0
+0
+1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+4
+1
+-2
+-3
+-1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+1
+0
+-1
+3
+2
+0
+-1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+-6
+-2
+0
+0
+1
+-1
+-1
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+4
+2
+-1
+0
+1
+2
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+1
+-2
+0
+5
+-2
+0
+-1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+4
+3
+0
+-1
+2
+0
+1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+9
+1
+-1
+-2
+2
+-2
+-2
+1
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+3
+0
+0
+0
+3
+2
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+1
+0
+0
+1
+-2
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+2
+2
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-2
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+0
+0
+0
+2
+2
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+2
+1
+1
+0
+2
+2
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+3
+4
+0
+-2
+0
+-2
+-1
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+2
+2
+-1
+-1
+0
+-1
+-1
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-58
+-6
+-1
+-1
+0
+4
+-2
+0
+1
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+2
+2
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-60
+-4
+-1
+0
+0
+3
+-2
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+0
+0
+0
+2
+2
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-52
+-2
+5
+3
+-1
+4
+0
+1
+-2
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-8
+0
+6
+1
+-2
+4
+0
+2
+0
+-1
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+4
+10
+3
+4
+-1
+-1
+-1
+-1
+-2
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+17
+2
+9
+-2
+0
+-2
+0
+-1
+-1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-16
+-2
+-1
+0
+0
+2
+-2
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+2
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-25
+-3
+8
+-2
+-1
+-4
+0
+-1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-14
+0
+2
+0
+-1
+2
+-2
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+0
+0
+0
+2
+2
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-1
+-3
+0
+3
+2
+0
+1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+-10
+-1
+-1
+2
+-1
+1
+-1
+-1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+-2
+0
+-1
+-1
+3
+-2
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+2
+2
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-11
+-3
+-2
+1
+-3
+2
+0
+1
+-2
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+-1
+2
+2
+1
+0
+0
+1
+0
+1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+0
+-2
+0
+-1
+3
+-2
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+1
+2
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+14
+-5
+4
+-3
+-4
+-5
+0
+-1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+4
+5
+2
+-3
+-1
+0
+-1
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+7
+-3
+-1
+-5
+5
+-1
+0
+-1
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+-5
+-5
+0
+5
+-3
+0
+2
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+12
+0
+1
+-1
+-4
+0
+0
+-1
+1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+-1
+2
+-2
+0
+0
+1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+14
+-3
+2
+7
+-4
+1
+0
+1
+0
+0
+0
+1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+-3
+15
+-6
+3
+-1
+0
+0
+1
+-1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-2
+1
+1
+0
+3
+-1
+0
+0
+1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-1
+-1
+0
+-1
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+1
+-1
+1
+0
+1
+1
+0
+0
+-1
+1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-1
+0
+2
+-1
+0
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+1
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+0
+0
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+-1
+1
+0
+0
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+-2
+2
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+2
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+2
+0
+0
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+-2
+2
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+0
+-3
+3
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+-2
+2
+0
+-1
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+0
+1
+0
+0
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+1
+-2
+2
+1
+0
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+2
+-3
+2
+-1
+0
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+0
+-1
+2
+0
+0
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+1
+2
+-1
+0
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+2
+0
+2
+1
+0
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-4
+-4
+3
+2
+1
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+2
+-5
+2
+-1
+0
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+-1
+-3
+1
+0
+0
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-1
+2
+1
+0
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+-2
+-1
+1
+-3
+2
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+3
+0
+3
+2
+0
+0
+0
+0
+-2
+1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+10
+-2
+-4
+-1
+-1
+-2
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+0
+0
+1
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+-4
+1
+0
+0
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-2
+2
+0
+0
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+-1
+-1
+2
+0
+0
+0
+0
+0
+-3
+2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+-1
+-2
+0
+-2
+-2
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+1
+3
+2
+0
+1
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+-5
+-4
+0
+5
+1
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+6
+-7
+2
+-3
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-3
+-1
+2
+-3
+0
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+-2
+0
+1
+1
+0
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+0
+-3
+1
+2
+0
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+-4
+2
+-1
+0
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-8
+3
+-2
+2
+0
+0
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+-4
+0
+1
+0
+-2
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+15
+-7
+-4
+0
+0
+-1
+0
+1
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+-1
+-4
+0
+2
+3
+1
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+4
+-3
+1
+-1
+1
+0
+0
+-1
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-31
+-3
+-5
+2
+2
+-3
+0
+1
+0
+-2
+2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-28
+-6
+-6
+2
+1
+-1
+1
+0
+1
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+-1
+2
+-1
+2
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-7
+2
+0
+2
+3
+0
+0
+-1
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-19
+1
+-2
+6
+-1
+0
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-9
+-8
+-5
+5
+2
+0
+1
+1
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+1
+0
+2
+-1
+-1
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+2
+1
+2
+-1
+0
+-1
+-1
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-4
+-6
+2
+1
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-4
+2
+-1
+2
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+5
+0
+1
+0
+-4
+0
+-1
+-1
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+20
+6
+-3
+3
+0
+2
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+-1
+-3
+0
+1
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+2
+-6
+2
+0
+1
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+1
+-3
+2
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+2
+0
+2
+0
+0
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-1
+-2
+4
+0
+-1
+0
+1
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+0
+-1
+2
+1
+1
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+2
+1
+0
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+0
+-2
+2
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+0
+-1
+2
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+1
+-2
+2
+0
+-1
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+-1
+0
+2
+1
+0
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+0
+-2
+2
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+-1
+-3
+2
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+1
+-3
+3
+0
+-2
+0
+1
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-7
+-2
+0
+3
+2
+1
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+-1
+-1
+3
+0
+0
+0
+0
+1
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+0
+0
+1
+0
+2
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+1
+0
+1
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+1
+-3
+0
+1
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+-2
+-1
+-1
+1
+-2
+0
+0
+-1
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+-2
+-2
+1
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+2
+1
+0
+-1
+0
+0
+-1
+1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+1
+-2
+-1
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+0
+4
+0
+0
+-2
+0
+-4
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+-1
+-1
+0
+0
+1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-13
+4
+-1
+2
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-26
+0
+-2
+2
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-31
+3
+1
+2
+-2
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-37
+0
+3
+1
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-36
+-4
+2
+3
+0
+4
+-1
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-42
+5
+4
+1
+-1
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-31
+-15
+-5
+2
+5
+1
+0
+2
+2
+1
+1
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-31
+0
+4
+3
+2
+3
+1
+0
+-1
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-14
+-5
+-9
+3
+3
+-1
+0
+0
+3
+1
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-15
+1
+-7
+3
+-4
+0
+0
+-1
+0
+3
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-7
+-2
+0
+0
+4
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+0
+0
+2
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+-3
+-2
+-1
+-1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-8
+-7
+-14
+-1
+0
+-5
+-1
+1
+1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-36
+1
+4
+9
+-1
+1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-50
+1
+0
+1
+5
+2
+0
+0
+-1
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+10
+0
+-2
+1
+-2
+-3
+1
+3
+2
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-8
+-4
+0
+0
+1
+-1
+1
+-1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+-3
+0
+2
+1
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+11
+1
+1
+-5
+-2
+2
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-33
+-13
+-9
+1
+4
+1
+0
+2
+1
+-1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-7
+0
+-3
+2
+4
+5
+1
+1
+1
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+9
+-3
+2
+-5
+-3
+-1
+0
+2
+2
+1
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+7
+9
+2
+5
+0
+0
+-2
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+0
+1
+1
+1
+-2
+-1
+-2
+1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+11
+1
+5
+6
+-5
+1
+0
+0
+0
+-1
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+18
+-7
+13
+3
+5
+1
+0
+-1
+2
+-2
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+9
+3
+17
+0
+1
+-1
+1
+-1
+-2
+-1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-11
+2
+4
+2
+4
+1
+0
+0
+1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+-3
+-10
+1
+-6
+-3
+-1
+-3
+-1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+15
+-1
+-6
+0
+-1
+-1
+1
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+0
+0
+0
+0
+-2
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-3
+0
+1
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+17
+3
+-2
+0
+1
+0
+0
+1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+41
+-3
+-1
+-3
+-3
+0
+0
+0
+-2
+-1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+7
+13
+7
+0
+-4
+-4
+0
+-1
+-1
+1
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-1
+0
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+9
+0
+-1
+-3
+1
+-1
+1
+-1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+38
+-1
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+10
+7
+1
+-4
+-2
+0
+1
+-1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+-6
+-11
+-2
+-5
+-2
+0
+2
+0
+0
+0
+0
+2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-3
+0
+0
+-1
+1
+-1
+0
+1
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+-2
+-1
+2
+6
+2
+0
+1
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-9
+9
+-5
+-3
+0
+-4
+0
+0
+0
+-1
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+1
+2
+-2
+1
+1
+0
+-2
+0
+1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-1
+4
+1
+2
+-1
+0
+-2
+1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+21
+-3
+-1
+-1
+0
+0
+-1
+1
+0
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+22
+6
+2
+0
+-3
+2
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+3
+4
+-2
+-3
+-1
+0
+0
+-1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-16
+-4
+1
+6
+1
+2
+-1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-9
+1
+-13
+4
+3
+4
+1
+2
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+-5
+-3
+0
+0
+1
+0
+-1
+1
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+3
+0
+1
+2
+0
+-1
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+3
+2
+-3
+-3
+4
+-1
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+32
+-7
+7
+-7
+-1
+1
+0
+0
+0
+1
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+39
+4
+8
+-6
+1
+1
+0
+0
+-1
+2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+33
+1
+6
+-4
+0
+0
+0
+0
+0
+2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+32
+0
+3
+-3
+3
+0
+0
+0
+-1
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+15
+-5
+-1
+-7
+1
+-2
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+21
+0
+2
+-5
+-2
+0
+0
+0
+-1
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-21
+0
+-1
+2
+4
+4
+3
+1
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-13
+1
+-5
+2
+-1
+1
+0
+0
+-2
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+0
+-1
+2
+-1
+0
+0
+0
+-1
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+4
+-1
+0
+3
+-3
+1
+-1
+0
+1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-13
+-3
+-4
+3
+-3
+1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-14
+-9
+-1
+0
+2
+6
+4
+-1
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+-2
+-3
+-3
+-2
+-1
+0
+0
+1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+1
+-1
+0
+0
+-2
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-7
+0
+-3
+2
+1
+4
+5
+1
+-1
+-1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-1
+5
+2
+-3
+1
+0
+-1
+-2
+-1
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-7
+0
+0
+3
+3
+1
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+1
+-3
+2
+-4
+0
+0
+0
+-1
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+2
+0
+0
+-1
+0
+1
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+10
+-3
+0
+-1
+-1
+-3
+2
+1
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+24
+2
+-5
+-5
+-6
+-2
+1
+3
+2
+0
+-1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+1
+6
+5
+1
+3
+0
+0
+0
+-1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+-2
+-1
+1
+4
+4
+0
+0
+2
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+3
+3
+-3
+-4
+0
+0
+1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-7
+1
+0
+4
+3
+-1
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+-5
+-3
+0
+2
+0
+0
+0
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+2
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+14
+6
+1
+-1
+-4
+-2
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+0
+0
+0
+-2
+1
+0
+2
+-1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+24
+-3
+-9
+-6
+-3
+-1
+0
+1
+0
+-1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+9
+3
+1
+0
+1
+1
+1
+-1
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+2
+2
+-2
+0
+1
+0
+0
+-1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-2
+2
+1
+-2
+-2
+0
+-2
+0
+-1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+2
+5
+-2
+2
+1
+0
+-1
+-2
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+0
+-2
+0
+2
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+1
+-2
+1
+4
+-2
+2
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+-2
+1
+0
+-2
+2
+1
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+1
+0
+3
+-2
+0
+-3
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+0
+2
+-1
+-2
+1
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+25
+-4
+-4
+0
+-1
+-2
+0
+0
+1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-13
+1
+-2
+3
+2
+5
+0
+1
+-1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+-2
+9
+0
+-1
+1
+-1
+-2
+-3
+-1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-10
+9
+2
+0
+-1
+1
+-1
+0
+-2
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+2
+-1
+1
+-2
+0
+0
+1
+-1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+-2
+2
+-1
+5
+-2
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+-8
+1
+-2
+6
+3
+0
+0
+-1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+20
+0
+-11
+-4
+-4
+0
+0
+1
+1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+-13
+-5
+3
+3
+0
+1
+1
+0
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+16
+6
+1
+-3
+-2
+-1
+0
+-1
+0
+1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-17
+-5
+4
+-2
+-4
+2
+-1
+-1
+0
+-1
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+1
+-4
+-2
+1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-2
+1
+0
+-2
+-1
+0
+0
+-1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+0
+1
+-2
+1
+0
+0
+-2
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+3
+2
+2
+2
+-2
+0
+-1
+1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+1
+1
+0
+0
+0
+-1
+-1
+-1
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+0
+2
+1
+-1
+1
+0
+-1
+1
+-1
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+0
+0
+-5
+-1
+0
+-1
+-1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+0
+3
+2
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-1
+0
+1
+-1
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+1
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+-2
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+3
+7
+3
+3
+1
+0
+0
+0
+2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+-2
+2
+2
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+2
+0
+2
+1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+2
+2
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+2
+2
+0
+2
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+2
+-1
+-1
+3
+2
+0
+1
+1
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-12
+-2
+-6
+0
+-2
+1
+0
+1
+-1
+2
+2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+-1
+-4
+0
+-1
+0
+0
+1
+-1
+1
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+0
+0
+2
+0
+2
+0
+1
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+0
+-3
+-2
+1
+2
+0
+2
+0
+-1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+1
+2
+0
+0
+0
+-2
+-1
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+0
+-3
+3
+0
+0
+0
+0
+-1
+-1
+-1
+1
+0
+0
+0
+0
+0
+0
+-1
+-2
+2
+-1
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+-2
+3
+0
+1
+0
+-1
+0
+-3
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-1
+2
+1
+0
+0
+0
+-1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+1
+-1
+2
+-2
+0
+0
+0
+1
+-1
+0
+0
+-1
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+1
+2
+0
+0
+0
+-1
+-1
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-2
+1
+-2
+0
+-1
+0
+1
+-1
+0
+0
+0
+1
+1
+0
+-1
+-1
+1
+-1
+0
+0
+1
+-1
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+1
+-2
+2
+-1
+-1
+-1
+1
+1
+-1
+0
+0
+-1
+1
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+-2
+3
+2
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+-1
+2
+1
+1
+1
+-1
+0
+-1
+1
+0
+1
+-1
+0
+0
+0
+1
+-1
+0
+1
+-1
+-1
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+-2
+2
+0
+-2
+1
+1
+0
+-3
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+1
+-2
+1
+1
+-1
+1
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+2
+2
+0
+1
+0
+-1
+-1
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+-2
+2
+-1
+-1
+0
+1
+1
+-2
+0
+0
+-1
+0
+1
+0
+-1
+0
+0
+0
+1
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+2
+2
+0
+0
+0
+-1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-2
+0
+1
+-3
+3
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+1
+2
+-2
+1
+-3
+0
+0
+0
+1
+1
+-2
+-1
+0
+2
+-3
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+-3
+2
+-1
+3
+-3
+-1
+2
+-1
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+1
+0
+0
+1
+1
+-1
+-1
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+1
+0
+-1
+0
+0
+-1
+-2
+0
+0
+0
+-1
+-1
+0
+-1
+0
+1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+0
+-1
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+0
+0
+3
+0
+-2
+2
+0
+0
+0
+0
+1
+1
+0
+0
+-1
+2
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-1
+-1
+-1
+0
+-7
+2
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+1
+0
+1
+-1
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+2
+-2
+1
+1
+-1
+-1
+-2
+-2
+-1
+0
+1
+-1
+0
+0
+0
+0
+1
+-1
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-2
+4
+-2
+1
+1
+0
+0
+-3
+0
+0
+-1
+2
+-1
+1
+-1
+1
+-1
+0
+1
+-2
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-3
+0
+-2
+0
+-2
+1
+-3
+2
+1
+-1
+-2
+1
+1
+0
+1
+0
+-1
+1
+0
+0
+0
+0
+-1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-2
+3
+-3
+2
+-1
+-1
+0
+-2
+0
+-1
+-1
+2
+0
+0
+0
+1
+0
+-1
+0
+0
+1
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+2
+0
+0
+0
+1
+3
+0
+-1
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+0
+-1
+1
+-2
+-2
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+3
+1
+-1
+2
+0
+-2
+1
+1
+0
+0
+0
+1
+0
+0
+-3
+-1
+1
+0
+0
+0
+0
+0
+0
+1
+1
+-1
+1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+3
+0
+-1
+0
+3
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+0
+0
+2
+3
+0
+-1
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+1
+1
+0
+2
+-2
+-1
+2
+0
+-1
+0
+-1
+0
+-1
+2
+1
+-3
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+0
+0
+-1
+0
+3
+-2
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+2
+0
+-1
+0
+3
+2
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-1
+1
+-5
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-2
+-2
+0
+0
+0
+1
+0
+1
+-2
+-1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-1
+2
+1
+-2
+-3
+1
+3
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-1
+0
+-1
+3
+1
+-1
+-1
+0
+0
+0
+-2
+1
+0
+0
+2
+-2
+0
+0
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+-1
+0
+0
+3
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+2
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+-2
+1
+0
+-1
+0
+0
+0
+1
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-2
+0
+-1
+-2
+-3
+0
+0
+2
+2
+-2
+1
+0
+0
+0
+1
+0
+1
+0
+-2
+2
+0
+1
+0
+0
+-1
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-4
+0
+0
+-4
+1
+0
+1
+2
+-1
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+1
+0
+0
+0
+1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+0
+-1
+-1
+5
+-2
+-1
+1
+0
+0
+-1
+0
+3
+-1
+0
+0
+0
+0
+-1
+1
+0
+0
+-1
+0
+1
+0
+1
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+-3
+-1
+-3
+-2
+2
+0
+1
+0
+-1
+0
+1
+1
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+0
+-3
+0
+0
+2
+-2
+1
+0
+-1
+0
+0
+1
+-1
+0
+0
+-1
+0
+2
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-2
+-4
+1
+-2
+1
+0
+1
+1
+0
+-2
+-2
+-1
+-1
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+0
+0
+1
+0
+2
+0
+-1
+1
+-1
+-1
+0
+2
+0
+1
+0
+-1
+0
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+2
+-1
+2
+1
+0
+-2
+-1
+0
+0
+-1
+-1
+1
+-1
+0
+1
+0
+1
+0
+2
+1
+0
+-1
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+0
+-1
+0
+1
+1
+0
+-1
+0
+2
+-2
+-1
+0
+-2
+1
+-1
+0
+0
+0
+1
+2
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+3
+1
+0
+0
+2
+-1
+0
+0
+0
+-1
+0
+0
+0
+-1
+-1
+-1
+0
+0
+0
+1
+-1
+0
+-1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-2
+-1
+0
+-1
+-3
+0
+-1
+0
+2
+-3
+0
+2
+1
+0
+0
+0
+0
+0
+0
+2
+-1
+0
+0
+0
+0
+1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+0
+0
+1
+0
+2
+-1
+0
+1
+-2
+-1
+-2
+2
+-1
+0
+-1
+1
+0
+1
+1
+-1
+0
+1
+0
+1
+-1
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+0
+0
+1
+-1
+0
+1
+3
+-3
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+2
+0
+0
+0
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+3
+0
+0
+0
+2
+1
+-1
+-1
+1
+-1
+0
+0
+1
+0
+-1
+0
+0
+1
+0
+1
+0
+0
+-2
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+0
+-1
+2
+0
+-3
+-2
+-2
+1
+0
+-2
+-1
+1
+-1
+0
+-1
+0
+0
+0
+1
+1
+0
+-1
+-1
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+1
+-2
+1
+0
+0
+0
+-2
+0
+2
+-2
+0
+0
+0
+0
+-1
+0
+0
+1
+0
+2
+-1
+0
+-1
+0
+-1
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+3
+-1
+0
+0
+-2
+0
+1
+0
+1
+-2
+0
+-1
+-1
+0
+0
+0
+0
+0
+-1
+2
+-1
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-1
+-2
+1
+1
+-3
+-2
+-1
+0
+2
+-3
+0
+2
+-2
+0
+1
+0
+-1
+0
+0
+2
+-1
+0
+0
+0
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+1
+0
+1
+0
+0
+0
+0
+-1
+0
+0
+0
+1
+-1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+0
+0
+1
+4
+-1
+0
+2
+-2
+0
+0
+0
+1
+-2
+0
+0
+-1
+1
+-2
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+3
+2
+0
+0
+-1
+-1
+-2
+2
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+-1
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+2
+-1
+0
+0
+-1
+-3
+0
+0
+0
+0
+0
+-1
+0
+-1
+1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+1
+-3
+-3
+0
+0
+0
+-1
+0
+1
+-1
+1
+2
+-1
+0
+-1
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+1
+0
+-1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+2
+-3
+1
+-3
+1
+0
+-1
+1
+0
+0
+0
+0
+0
+-1
+0
+1
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+1
+2
+-1
+-2
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-2
+0
+-1
+0
+-5
+0
+-2
+1
+0
+0
+0
+1
+-1
+3
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+2
+0
+1
+0
+0
+0
+2
+2
+1
+1
+0
+0
+0
+0
+0
+0
+0
+1
+1
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+1
+-1
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-56
+1
+-1
+2
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-56
+0
+-1
+1
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-55
+0
+-1
+1
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-1
+1
+-2
+-1
+0
+0
+0
+-1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-8
+-9
+-4
+4
+4
+6
+0
+0
+1
+0
+1
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+11
+7
+-2
+1
+2
+1
+-1
+-2
+-1
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+-8
+2
+-2
+-1
+5
+0
+1
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+6
+-5
+1
+0
+3
+1
+1
+-2
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+9
+6
+2
+-2
+-2
+3
+1
+0
+-1
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+14
+-7
+-4
+-2
+3
+0
+0
+1
+1
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+21
+6
+-4
+-2
+-2
+-4
+1
+0
+-1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+-2
+0
+-4
+2
+-2
+-1
+0
+1
+0
+-1
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+10
+-2
+-3
+1
+0
+0
+0
+1
+-2
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+8
+5
+4
+-1
+-1
+0
+-1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-10
+-3
+3
+4
+1
+0
+0
+0
+1
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+2
+3
+-1
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+-3
+1
+0
+-2
+3
+-2
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+18
+0
+1
+0
+0
+-5
+0
+2
+-2
+0
+0
+0
+1
+1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+9
+-4
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+1
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+2
+-2
+-1
+2
+0
+0
+-1
+1
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+7
+-1
+8
+-2
+1
+-1
+0
+0
+0
+-1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+7
+-4
+1
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+6
+4
+-2
+0
+1
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+-6
+4
+0
+2
+-2
+0
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-4
+1
+0
+1
+2
+-2
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+-2
+-1
+0
+-1
+0
+2
+2
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+1
+2
+-3
+0
+-1
+0
+0
+0
+-1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+10
+-6
+4
+0
+-2
+0
+0
+-2
+1
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+10
+6
+-3
+0
+-2
+1
+-1
+1
+-1
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+4
+0
+1
+0
+-3
+1
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+5
+0
+0
+1
+-1
+-1
+-1
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+9
+-5
+-6
+2
+-2
+2
+-2
+0
+1
+-1
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+1
+0
+0
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-2
+2
+3
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+-2
+0
+1
+1
+0
+0
+-1
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+0
+-1
+-2
+2
+-1
+2
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+14
+-3
+5
+1
+4
+1
+-2
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-1
+4
+1
+-2
+0
+0
+1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+4
+2
+-2
+0
+-1
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+3
+-2
+0
+0
+-2
+0
+0
+1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-4
+-4
+0
+2
+0
+0
+1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+3
+-4
+0
+0
+2
+0
+1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+3
+0
+1
+2
+-1
+0
+1
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-4
+-4
+4
+-3
+1
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-11
+3
+5
+5
+2
+0
+0
+0
+0
+-1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+7
+-3
+-3
+-3
+-3
+-1
+0
+2
+2
+1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+4
+0
+0
+3
+2
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+1
+0
+1
+-6
+-2
+0
+0
+2
+-1
+0
+-1
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+1
+1
+2
+0
+1
+-1
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+1
+7
+1
+2
+-1
+-1
+0
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+-2
+1
+-1
+-1
+-2
+-1
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+-2
+-6
+0
+-4
+-1
+0
+1
+-1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+-2
+1
+0
+0
+0
+0
+0
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+0
+0
+0
+-2
+1
+0
+1
+-1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+7
+3
+3
+-4
+3
+2
+0
+0
+-1
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+0
+-3
+3
+4
+0
+0
+0
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+7
+-4
+2
+-3
+-8
+2
+-1
+3
+0
+-1
+0
+1
+2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+7
+5
+4
+8
+2
+-1
+2
+2
+0
+0
+-1
+0
+-1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-1
+-1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+-1
+0
+1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-13
+1
+7
+-1
+-4
+2
+0
+0
+1
+-1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+15
+2
+-2
+-3
+-8
+-4
+1
+0
+2
+0
+-1
+0
+1
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-17
+1
+7
+3
+8
+6
+0
+-4
+-4
+0
+0
+0
+-1
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+-3
+-7
+-2
+-3
+0
+0
+0
+0
+-1
+1
+0
+1
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+1
+5
+-4
+-2
+0
+0
+0
+1
+2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-7
+6
+4
+2
+3
+1
+0
+-1
+-2
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+2
+4
+0
+0
+-2
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+-3
+4
+2
+-2
+-1
+0
+-2
+3
+-1
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+0
+-2
+-4
+3
+-1
+0
+1
+-3
+1
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+2
+-2
+-1
+2
+-2
+0
+0
+-1
+-2
+0
+0
+2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+1
+-1
+0
+0
+1
+0
+0
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+1
+2
+-2
+-3
+-2
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+5
+2
+0
+-3
+0
+0
+-1
+-3
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+-1
+-4
+-2
+3
+0
+2
+2
+-2
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+7
+3
+8
+-10
+-3
+1
+0
+0
+0
+-6
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-7
+2
+5
+-2
+0
+5
+0
+3
+-4
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+7
+0
+3
+3
+3
+0
+0
+1
+2
+-1
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+5
+6
+-5
+-5
+-4
+0
+0
+2
+1
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-10
+10
+9
+8
+18
+4
+0
+-1
+-3
+-1
+1
+-2
+-4
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+-11
+-7
+-6
+-10
+-2
+1
+3
+2
+0
+-1
+0
+0
+-1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+2
+11
+-6
+-1
+2
+0
+0
+-1
+-2
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-2
+9
+3
+1
+-1
+0
+0
+-2
+-2
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+-4
+-2
+1
+-1
+1
+0
+0
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+7
+2
+2
+2
+-2
+2
+0
+0
+3
+0
+0
+1
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+-5
+3
+-1
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+-5
+-4
+0
+5
+-1
+-1
+1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+10
+7
+4
+-2
+-3
+-1
+-1
+-2
+-2
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-10
+0
+-8
+0
+3
+-1
+0
+0
+0
+1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+0
+2
+3
+-1
+-3
+0
+0
+0
+0
+-1
+0
+1
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-10
+-4
+-8
+-4
+8
+2
+0
+1
+4
+1
+0
+2
+0
+-1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+10
+2
+1
+-3
+-4
+-1
+0
+-1
+-2
+-1
+-1
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-10
+5
+6
+0
+7
+1
+-1
+-3
+-1
+0
+0
+0
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-11
+0
+-1
+0
+0
+2
+0
+0
+1
+1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+14
+1
+0
+4
+-4
+1
+0
+1
+-2
+2
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+-1
+4
+0
+-1
+0
+0
+-1
+1
+0
+0
+2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+7
+0
+-1
+-2
+2
+0
+0
+0
+-1
+-1
+0
+1
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+3
+-1
+0
+-2
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+2
+2
+0
+-5
+-4
+-1
+-4
+-3
+0
+0
+-1
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+2
+0
+1
+-2
+2
+0
+2
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-1
+0
+3
+-1
+0
+0
+-2
+0
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+-10
+-4
+0
+-5
+-2
+1
+0
+0
+0
+0
+0
+1
+2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+8
+5
+0
+-2
+1
+-1
+-4
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+3
+-6
+0
+2
+2
+0
+2
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+1
+2
+2
+-1
+0
+0
+0
+-2
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-1
+0
+1
+5
+-1
+0
+1
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+5
+2
+1
+-3
+-2
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-3
+1
+1
+-3
+0
+0
+0
+-1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+12
+-2
+-3
+4
+5
+0
+0
+-2
+-2
+-1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+3
+-5
+2
+-5
+1
+0
+-1
+2
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+-8
+2
+-2
+5
+-4
+-2
+3
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+4
+3
+2
+1
+7
+-1
+0
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+2
+2
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+18
+-9
+12
+-4
+-9
+1
+2
+0
+3
+0
+0
+-1
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+12
+11
+9
+0
+9
+5
+0
+-1
+-2
+-1
+0
+0
+0
+-1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+-2
+-7
+0
+1
+-5
+0
+3
+0
+1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+-1
+0
+-3
+-2
+-1
+1
+-1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-9
+-2
+4
+1
+-8
+1
+0
+0
+-1
+0
+0
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+3
+0
+0
+6
+-3
+-1
+3
+-1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+14
+-2
+1
+7
+2
+0
+1
+-2
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+11
+4
+2
+-2
+0
+-4
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+-6
+1
+-1
+5
+2
+0
+-1
+0
+1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+0
+4
+2
+-2
+0
+1
+-1
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+3
+2
+1
+1
+0
+-2
+2
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+7
+0
+-3
+-4
+4
+-2
+1
+-1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-4
+0
+0
+1
+0
+0
+0
+1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+1
+-6
+2
+-1
+0
+0
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+0
+3
+-3
+-1
+-1
+0
+-1
+1
+-1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+38
+0
+1
+-3
+0
+-2
+1
+0
+1
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+1
+6
+0
+-1
+0
+-2
+-1
+0
+-2
+0
+0
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+2
+-4
+2
+-2
+-1
+0
+1
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+-5
+-5
+2
+0
+3
+-1
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+9
+1
+0
+1
+-3
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+16
+5
+-5
+-2
+-1
+-2
+0
+1
+-1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+2
+0
+-2
+1
+-1
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-17
+-31
+-32
+0
+14
+-3
+-1
+7
+7
+0
+0
+-1
+-3
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+10
+31
+7
+-12
+-9
+-11
+-1
+-8
+-3
+2
+-1
+0
+2
+-2
+-1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+-13
+-8
+4
+11
+-1
+1
+3
+2
+-1
+0
+-1
+-2
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+12
+3
+-2
+-3
+-6
+-3
+0
+-2
+-2
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-2
+-1
+2
+0
+0
+0
+0
+1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+8
+1
+1
+1
+-4
+0
+0
+0
+0
+0
+0
+1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-36
+-15
+0
+8
+4
+3
+1
+-1
+-1
+1
+1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+1
+1
+-1
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-10
+-8
+26
+5
+-12
+-13
+0
+-6
+-2
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-53
+11
+6
+2
+7
+6
+2
+3
+2
+2
+1
+1
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+-6
+9
+5
+-8
+-2
+1
+-1
+-3
+0
+0
+-1
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-9
+7
+10
+4
+-1
+3
+0
+0
+1
+0
+0
+1
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+4
+-2
+-1
+2
+-3
+2
+-3
+2
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+2
+5
+-2
+3
+-3
+0
+0
+-1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+3
+-6
+-3
+3
+0
+0
+0
+0
+-1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-60
+1
+-1
+2
+-3
+2
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+13
+-15
+0
+5
+6
+-8
+2
+-1
+2
+0
+0
+0
+-1
+-1
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+20
+-2
+0
+-1
+1
+-6
+0
+1
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-17
+-4
+2
+4
+2
+6
+1
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+2
+1
+1
+1
+1
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-14
+4
+6
+4
+-2
+3
+0
+-1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+-6
+-2
+1
+2
+-2
+0
+0
+2
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-9
+-2
+0
+0
+0
+4
+-1
+-1
+1
+1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+6
+-5
+-2
+-2
+0
+-4
+0
+2
+2
+1
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+10
+-10
+5
+5
+0
+1
+1
+-7
+-2
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+9
+-1
+-1
+-2
+1
+0
+-1
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+-9
+3
+1
+-2
+5
+0
+-2
+1
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+2
+3
+-3
+-3
+-1
+0
+0
+0
+1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-8
+-4
+2
+1
+3
+2
+0
+1
+0
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-8
+3
+-2
+0
+-2
+-2
+0
+1
+-3
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+18
+1
+3
+-4
+-4
+-1
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+-7
+-2
+-4
+1
+1
+2
+0
+2
+-1
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+5
+0
+-3
+-2
+0
+2
+0
+-2
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+3
+-2
+0
+2
+0
+-1
+-2
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+8
+5
+4
+-2
+-1
+-2
+-1
+-1
+-3
+-1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+-1
+-2
+0
+1
+2
+0
+1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+4
+4
+4
+1
+-2
+0
+-1
+0
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-39
+-8
+5
+4
+0
+3
+-1
+0
+0
+1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+-12
+2
+0
+-5
+6
+0
+-1
+0
+0
+0
+0
+-1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+22
+0
+-1
+-2
+-1
+-3
+0
+0
+1
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+6
+2
+-2
+-2
+-2
+0
+0
+-1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+6
+-5
+-1
+4
+-1
+-1
+2
+-1
+-1
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+0
+2
+0
+6
+0
+0
+0
+-1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+-2
+4
+-2
+1
+1
+2
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-12
+2
+3
+0
+-1
+2
+1
+0
+-1
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-7
+-2
+-1
+3
+4
+0
+0
+1
+-1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-5
+3
+2
+-6
+1
+0
+0
+-3
+1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-11
+-6
+-10
+-5
+-3
+3
+-2
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+12
+0
+-10
+0
+-2
+-2
+0
+0
+1
+1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-14
+1
+1
+1
+-3
+8
+0
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+-4
+7
+-1
+0
+-3
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-26
+0
+6
+1
+5
+1
+0
+-1
+0
+-1
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-14
+-1
+-1
+1
+3
+2
+1
+0
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+-2
+5
+3
+0
+-2
+0
+-2
+0
+-1
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+5
+-8
+2
+0
+-3
+0
+1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+0
+-4
+1
+-4
+-1
+1
+0
+1
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+1
+-1
+1
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-11
+-2
+-3
+2
+0
+1
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+1
+-1
+0
+-4
+1
+0
+1
+0
+0
+0
+0
+-1
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+2
+0
+0
+1
+0
+0
+0
+1
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+31
+8
+0
+-2
+1
+-2
+0
+1
+0
+1
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-27
+3
+1
+3
+-2
+5
+0
+0
+-1
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-3
+1
+7
+-1
+2
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-6
+-2
+3
+2
+1
+1
+0
+-1
+0
+-2
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-14
+-4
+-2
+0
+-2
+0
+0
+-1
+1
+-1
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+3
+-4
+0
+-1
+-1
+2
+0
+0
+2
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+1
+-1
+-2
+-2
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+-6
+0
+0
+0
+3
+-2
+0
+0
+0
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-19
+4
+-2
+0
+0
+5
+0
+-1
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+-20
+-1
+-6
+3
+0
+2
+0
+0
+0
+0
+0
+0
+0
+0
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+4
+-5
+2
+-1
+0
+2
+-1
+0
+3
+0
+0
+0
+-1
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0
+0

Added: trunk/theora-fpga/idctslow/golden/IN_QUANT.TB
===================================================================
--- trunk/theora-fpga/idctslow/golden/IN_QUANT.TB	2006-06-01 05:18:14 UTC (rev 11488)
+++ trunk/theora-fpga/idctslow/golden/IN_QUANT.TB	2006-06-01 06:14:15 UTC (rev 11489)
@@ -0,0 +1,63936 @@
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+56
+60
+72
+60
+52
+80
+72
+64
+72
+92
+88
+80
+96
+124
+208
+132
+124
+112
+112
+124
+252
+180
+192
+148
+208
+300
+264
+316
+312
+296
+264
+300
+284
+332
+372
+476
+404
+332
+352
+452
+356
+284
+288
+416
+564
+420
+452
+492
+508
+532
+540
+532
+320
+400
+584
+628
+580
+520
+624
+476
+524
+532
+512
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664
+64
+80
+80
+80
+80
+80
+104
+104
+104
+104
+124
+124
+124
+124
+124
+144
+144
+144
+144
+144
+144
+164
+164
+164
+164
+164
+164
+164
+208
+208
+208
+208
+208
+208
+208
+208
+248
+248
+248
+248
+248
+248
+248
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+332
+496
+496
+496
+664
+664
+664

Added: trunk/theora-fpga/idctslow/golden/OUT.EXPECTED.TB
===================================================================
--- trunk/theora-fpga/idctslow/golden/OUT.EXPECTED.TB	2006-06-01 05:18:14 UTC (rev 11488)
+++ trunk/theora-fpga/idctslow/golden/OUT.EXPECTED.TB	2006-06-01 06:14:15 UTC (rev 11489)
@@ -0,0 +1,63872 @@
+-36
+-37
+-37
+-38
+-39
+-40
+-41
+-41
+-25
+-26
+-26
+-27
+-28
+-29
+-30
+-30
+-20
+-21
+-21
+-22
+-23
+-24
+-25
+-25
+-26
+-26
+-27
+-28
+-29
+-29
+-30
+-30
+-29
+-30
+-30
+-31
+-32
+-33
+-34
+-34
+-27
+-27
+-28
+-29
+-29
+-30
+-31
+-31
+-27
+-27
+-28
+-28
+-29
+-30
+-31
+-31
+-31
+-31
+-32
+-33
+-34
+-35
+-35
+-36
+-45
+-45
+-45
+-45
+-45
+-45
+-45
+-45
+-34
+-34
+-34
+-34
+-34
+-34
+-34
+-34
+-28
+-28
+-28
+-28
+-28
+-28
+-28
+-28
+-33
+-33
+-33
+-33
+-33
+-33
+-33
+-33
+-35
+-35
+-35
+-35
+-35
+-35
+-35
+-35
+-32
+-32
+-32
+-32
+-32
+-32
+-32
+-32
+-31
+-31
+-31
+-31
+-31
+-31
+-31
+-31
+-35
+-35
+-35
+-35
+-35
+-35
+-35
+-35
+36
+36
+36
+36
+36
+36
+36
+36
+49
+49
+49
+49
+49
+49
+49
+49
+52
+52
+52
+52
+52
+52
+52
+52
+43
+43
+43
+43
+43
+43
+43
+43
+37
+37
+37
+37
+37
+37
+37
+37
+43
+43
+43
+43
+43
+43
+43
+43
+47
+47
+47
+47
+47
+47
+47
+47
+44
+44
+44
+44
+44
+44
+44
+44
+32
+32
+32
+32
+32
+32
+32
+32
+45
+45
+45
+45
+45
+45
+45
+45
+48
+48
+48
+48
+48
+48
+48
+48
+39
+39
+39
+39
+39
+39
+39
+39
+33
+33
+33
+33
+33
+33
+33
+33
+39
+39
+39
+39
+39
+39
+39
+39
+43
+43
+43
+43
+43
+43
+43
+43
+40
+40
+40
+40
+40
+40
+40
+40
+25
+24
+23
+24
+27
+31
+36
+39
+38
+37
+36
+37
+40
+44
+49
+52
+43
+42
+41
+42
+45
+49
+54
+57
+34
+33
+33
+33
+36
+41
+45
+48
+31
+30
+29
+30
+32
+37
+42
+44
+37
+37
+36
+37
+39
+44
+48
+51
+42
+41
+41
+41
+44
+49
+53
+56
+40
+39
+38
+39
+42
+46
+51
+54
+27
+27
+27
+27
+27
+27
+27
+27
+49
+49
+49
+49
+49
+49
+49
+49
+64
+64
+64
+64
+64
+64
+64
+64
+58
+58
+58
+58
+58
+58
+58
+58
+51
+51
+51
+51
+51
+51
+51
+51
+53
+53
+53
+53
+53
+53
+53
+53
+57
+57
+57
+57
+57
+57
+57
+57
+56
+56
+56
+56
+56
+56
+56
+56
+25
+25
+27
+28
+30
+32
+34
+34
+40
+41
+42
+43
+45
+47
+48
+48
+49
+49
+50
+51
+52
+53
+54
+55
+44
+44
+45
+45
+46
+46
+46
+46
+42
+42
+42
+42
+41
+41
+41
+41
+49
+49
+48
+47
+46
+45
+44
+44
+53
+52
+51
+50
+48
+46
+45
+45
+49
+48
+47
+45
+43
+41
+40
+39
+29
+30
+32
+33
+32
+28
+25
+22
+43
+45
+47
+47
+46
+43
+39
+37
+50
+51
+53
+54
+52
+49
+46
+43
+42
+44
+45
+46
+45
+41
+38
+35
+37
+38
+40
+41
+39
+36
+33
+30
+41
+42
+44
+45
+43
+40
+36
+34
+42
+43
+45
+46
+44
+41
+37
+35
+37
+38
+40
+41
+39
+36
+32
+30
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+16
+16
+16
+16
+16
+16
+16
+16
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+30
+26
+26
+26
+26
+26
+26
+26
+26
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+27
+23
+23
+23
+23
+23
+23
+23
+23
+-5
+-5
+-5
+-6
+-6
+-7
+-7
+-7
+14
+14
+14
+13
+13
+13
+13
+13
+29
+29
+29
+29
+30
+30
+30
+30
+29
+29
+30
+31
+31
+32
+32
+33
+26
+26
+27
+28
+30
+31
+32
+32
+27
+28
+29
+31
+33
+34
+35
+36
+28
+29
+30
+32
+34
+36
+38
+39
+25
+26
+27
+29
+32
+34
+36
+37
+-47
+-49
+-52
+-56
+-58
+-59
+-59
+-59
+-60
+-62
+-65
+-68
+-70
+-71
+-71
+-70
+-66
+-68
+-71
+-74
+-76
+-76
+-76
+-75
+-61
+-63
+-65
+-68
+-69
+-69
+-68
+-67
+-54
+-55
+-58
+-59
+-60
+-59
+-58
+-57
+-50
+-51
+-53
+-54
+-54
+-53
+-52
+-50
+-42
+-43
+-45
+-46
+-45
+-44
+-42
+-41
+-33
+-34
+-35
+-36
+-36
+-34
+-32
+-31
+-56
+-55
+-53
+-50
+-45
+-39
+-34
+-31
+-66
+-66
+-66
+-65
+-62
+-58
+-54
+-52
+-69
+-70
+-72
+-74
+-75
+-74
+-72
+-71
+-61
+-63
+-67
+-72
+-75
+-77
+-77
+-77
+-53
+-56
+-61
+-67
+-71
+-74
+-75
+-76
+-52
+-54
+-59
+-64
+-67
+-70
+-70
+-71
+-48
+-50
+-53
+-56
+-58
+-59
+-59
+-58
+-41
+-43
+-45
+-47
+-48
+-47
+-46
+-46
+-26
+-27
+-27
+-25
+-21
+-14
+-8
+-4
+-48
+-48
+-47
+-44
+-39
+-32
+-26
+-22
+-69
+-68
+-65
+-61
+-54
+-47
+-41
+-37
+-76
+-73
+-69
+-63
+-56
+-49
+-43
+-40
+-74
+-71
+-65
+-58
+-51
+-44
+-40
+-38
+-68
+-64
+-57
+-50
+-44
+-39
+-36
+-35
+-53
+-50
+-43
+-36
+-31
+-28
+-27
+-27
+-39
+-35
+-29
+-22
+-18
+-16
+-16
+-17
+-13
+-15
+-18
+-23
+-27
+-32
+-35
+-37
+-31
+-33
+-35
+-40
+-44
+-48
+-51
+-53
+-41
+-42
+-45
+-48
+-52
+-56
+-58
+-60
+-35
+-36
+-38
+-41
+-44
+-47
+-49
+-50
+-27
+-28
+-30
+-32
+-35
+-37
+-38
+-39
+-25
+-26
+-27
+-29
+-30
+-32
+-33
+-34
+-19
+-20
+-20
+-21
+-23
+-24
+-24
+-25
+-10
+-10
+-11
+-11
+-12
+-13
+-14
+-14
+-4
+2
+7
+6
+-1
+-7
+-7
+-5
+-2
+1
+3
+-1
+-8
+-12
+-10
+-6
+2
+2
+-2
+-11
+-19
+-20
+-14
+-7
+7
+4
+-4
+-16
+-26
+-27
+-18
+-9
+12
+8
+0
+-14
+-25
+-27
+-20
+-12
+17
+15
+8
+-4
+-17
+-23
+-19
+-14
+20
+21
+19
+8
+-6
+-15
+-18
+-16
+22
+25
+25
+17
+2
+-10
+-16
+-17
+11
+15
+21
+22
+16
+2
+-13
+-23
+3
+10
+20
+24
+20
+7
+-9
+-20
+-9
+2
+17
+28
+27
+15
+-2
+-13
+-18
+-5
+14
+29
+31
+22
+6
+-5
+-20
+-7
+11
+27
+32
+25
+13
+4
+-15
+-5
+9
+22
+27
+25
+19
+14
+-6
+-1
+8
+16
+21
+23
+22
+21
+1
+3
+7
+12
+16
+21
+23
+25
+33
+25
+11
+-2
+-11
+-13
+-11
+-9
+25
+20
+11
+1
+-6
+-11
+-13
+-13
+12
+11
+10
+6
+0
+-8
+-14
+-18
+-2
+2
+7
+8
+4
+-5
+-14
+-21
+-12
+-7
+2
+6
+5
+-3
+-13
+-20
+-19
+-13
+-5
+1
+2
+-3
+-11
+-16
+-21
+-17
+-11
+-5
+-3
+-5
+-8
+-10
+-21
+-18
+-14
+-10
+-7
+-6
+-6
+-6
+-26
+-10
+15
+35
+40
+30
+12
+-1
+-27
+-13
+9
+27
+33
+26
+14
+4
+-28
+-17
+-1
+14
+22
+21
+15
+10
+-28
+-21
+-8
+4
+12
+15
+15
+13
+-27
+-21
+-11
+-1
+6
+10
+11
+11
+-24
+-18
+-8
+1
+6
+7
+5
+3
+-21
+-13
+-2
+7
+10
+6
+-1
+-6
+-19
+-10
+2
+11
+13
+6
+-5
+-12
+33
+33
+27
+10
+-9
+-20
+-17
+-11
+26
+29
+27
+15
+-3
+-15
+-18
+-15
+15
+22
+27
+22
+8
+-7
+-17
+-22
+4
+13
+24
+27
+19
+2
+-16
+-27
+-4
+6
+20
+29
+27
+10
+-12
+-28
+-7
+1
+14
+27
+30
+16
+-7
+-26
+-7
+-2
+9
+23
+30
+20
+-3
+-21
+-6
+-4
+6
+20
+29
+21
+0
+-18
+26
+8
+-13
+-22
+-16
+-7
+-2
+-2
+26
+9
+-10
+-20
+-16
+-8
+-3
+-3
+27
+13
+-5
+-15
+-15
+-10
+-6
+-4
+27
+17
+2
+-9
+-14
+-12
+-9
+-6
+27
+21
+10
+-3
+-13
+-15
+-12
+-9
+28
+25
+16
+2
+-11
+-18
+-16
+-11
+28
+28
+22
+7
+-10
+-20
+-18
+-12
+28
+30
+25
+9
+-10
+-21
+-19
+-13
+-6
+-9
+-7
+6
+24
+34
+33
+28
+-9
+-12
+-9
+3
+21
+33
+35
+32
+-13
+-15
+-12
+-1
+15
+30
+37
+39
+-15
+-16
+-15
+-7
+7
+23
+37
+45
+-14
+-15
+-15
+-12
+-3
+15
+34
+47
+-9
+-11
+-14
+-17
+-12
+5
+28
+46
+-3
+-5
+-12
+-20
+-20
+-4
+22
+43
+1
+-2
+-10
+-21
+-24
+-10
+18
+41
+13
+10
+5
+-3
+-11
+-18
+-23
+-27
+12
+11
+9
+5
+-3
+-12
+-20
+-25
+9
+12
+16
+15
+9
+-2
+-14
+-22
+6
+11
+19
+22
+17
+5
+-9
+-19
+2
+8
+17
+21
+18
+7
+-6
+-15
+-2
+3
+9
+13
+11
+4
+-5
+-11
+-4
+-2
+0
+2
+1
+-2
+-6
+-9
+-6
+-6
+-6
+-6
+-7
+-7
+-7
+-7
+11
+27
+42
+36
+15
+-5
+-12
+-10
+3
+21
+39
+38
+20
+0
+-8
+-8
+-8
+12
+33
+38
+26
+9
+-1
+-4
+-18
+1
+24
+35
+29
+16
+4
+-1
+-23
+-7
+13
+26
+26
+17
+6
+0
+-22
+-13
+1
+12
+16
+12
+5
+0
+-18
+-15
+-9
+-2
+4
+6
+2
+-1
+-14
+-16
+-15
+-10
+-4
+0
+0
+-2
+-10
+-11
+-10
+-6
+2
+13
+23
+30
+-6
+-9
+-10
+-9
+-1
+11
+23
+31
+1
+-4
+-10
+-12
+-5
+8
+23
+33
+6
+-1
+-9
+-13
+-8
+5
+20
+31
+7
+2
+-6
+-10
+-6
+4
+16
+25
+5
+2
+-1
+-3
+-1
+4
+11
+15
+0
+2
+3
+5
+6
+6
+5
+5
+-3
+1
+6
+10
+10
+7
+2
+-1
+0
+-9
+-21
+-29
+-27
+-16
+-2
+7
+-1
+-8
+-16
+-23
+-23
+-17
+-8
+-2
+-2
+-5
+-10
+-15
+-17
+-17
+-16
+-15
+-2
+-3
+-4
+-7
+-12
+-18
+-23
+-26
+-3
+-2
+-2
+-5
+-10
+-18
+-25
+-30
+-3
+-3
+-4
+-7
+-12
+-18
+-23
+-27
+-2
+-4
+-8
+-11
+-15
+-17
+-19
+-20
+-2
+-5
+-10
+-15
+-17
+-17
+-15
+-14
+42
+41
+34
+15
+-5
+-15
+-12
+-5
+32
+36
+35
+25
+8
+-3
+-5
+-3
+16
+25
+35
+37
+27
+14
+5
+0
+-2
+12
+30
+41
+40
+28
+13
+3
+-14
+-2
+16
+32
+38
+31
+16
+5
+-20
+-15
+-4
+11
+22
+23
+14
+5
+-21
+-25
+-24
+-14
+0
+9
+8
+4
+-21
+-30
+-36
+-30
+-15
+-1
+4
+3
+3
+-3
+-5
+1
+11
+15
+8
+-1
+0
+-4
+-6
+1
+11
+16
+12
+5
+-4
+-7
+-6
+1
+10
+17
+17
+14
+-8
+-9
+-7
+-1
+7
+15
+20
+22
+-11
+-10
+-8
+-5
+0
+8
+17
+24
+-12
+-10
+-8
+-9
+-9
+-2
+10
+20
+-12
+-9
+-9
+-14
+-17
+-12
+2
+14
+-12
+-9
+-9
+-16
+-23
+-19
+-4
+10
+16
+26
+41
+51
+50
+39
+23
+12
+9
+21
+39
+51
+51
+40
+23
+11
+-1
+13
+34
+49
+52
+41
+24
+12
+-12
+3
+26
+43
+49
+41
+26
+16
+-19
+-5
+15
+33
+42
+39
+31
+24
+-21
+-12
+4
+20
+31
+36
+36
+35
+-21
+-15
+-6
+7
+20
+33
+42
+47
+-20
+-17
+-11
+-1
+14
+30
+45
+54
+87
+86
+86
+86
+86
+86
+86
+86
+78
+80
+84
+87
+88
+86
+83
+81
+66
+73
+82
+89
+92
+88
+83
+78
+56
+65
+79
+91
+95
+92
+84
+78
+46
+56
+71
+85
+92
+91
+84
+79
+31
+40
+54
+68
+76
+79
+78
+76
+14
+21
+31
+43
+53
+61
+65
+67
+2
+6
+14
+25
+36
+46
+54
+59
+19
+23
+31
+39
+47
+53
+56
+58
+21
+27
+37
+47
+54
+58
+58
+58
+27
+35
+48
+60
+66
+66
+63
+59
+34
+44
+59
+73
+78
+75
+67
+61
+42
+53
+69
+82
+86
+81
+71
+64
+51
+61
+75
+87
+90
+84
+74
+67
+58
+66
+78
+87
+90
+85
+76
+70
+62
+69
+79
+87
+89
+84
+77
+72
+68
+71
+74
+72
+63
+49
+34
+25
+64
+65
+66
+63
+56
+45
+35
+28
+58
+56
+54
+50
+45
+40
+36
+34
+52
+48
+42
+38
+35
+36
+38
+40
+49
+44
+37
+31
+31
+35
+41
+46
+49
+44
+37
+32
+32
+37
+44
+50
+51
+47
+41
+37
+37
+41
+47
+52
+53
+49
+44
+41
+41
+44
+49
+53
+35
+20
+12
+17
+19
+13
+10
+14
+11
+-4
+-13
+-7
+-5
+-11
+-14
+-10
+11
+-4
+-12
+-7
+-5
+-11
+-14
+-10
+22
+6
+-2
+3
+6
+-1
+-3
+0
+15
+0
+-8
+-3
+-1
+-7
+-10
+-6
+14
+-2
+-10
+-4
+-2
+-9
+-11
+-8
+19
+4
+-4
+1
+3
+-3
+-6
+-2
+14
+-1
+-9
+-4
+-2
+-8
+-11
+-7
+8
+8
+9
+10
+11
+12
+12
+13
+-18
+-18
+-18
+-18
+-19
+-19
+-19
+-20
+-15
+-16
+-18
+-20
+-22
+-24
+-26
+-27
+2
+1
+-2
+-5
+-9
+-13
+-15
+-17
+2
+0
+-2
+-6
+-9
+-13
+-16
+-17
+0
+0
+-2
+-4
+-7
+-9
+-10
+-11
+-2
+-2
+-2
+-2
+-3
+-3
+-3
+-4
+-15
+-14
+-13
+-13
+-12
+-11
+-10
+-10
+17
+17
+17
+17
+17
+17
+17
+17
+0
+0
+0
+0
+0
+0
+0
+0
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-8
+-8
+-8
+-8
+-8
+-8
+-8
+-8
+-6
+-6
+-6
+-6
+-6
+-6
+-6
+-6
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-4
+-4
+-4
+-4
+-4
+-4
+-4
+-4
+13
+13
+14
+15
+17
+18
+19
+20
+-2
+-2
+-1
+1
+2
+3
+4
+5
+-9
+-9
+-8
+-6
+-5
+-4
+-3
+-2
+-3
+-2
+-1
+0
+1
+3
+4
+4
+1
+2
+3
+4
+5
+6
+8
+8
+-4
+-4
+-3
+-1
+0
+1
+2
+3
+-6
+-5
+-4
+-3
+-2
+0
+1
+1
+-2
+-1
+0
+1
+3
+4
+5
+5
+23
+23
+23
+23
+23
+23
+23
+23
+7
+7
+7
+7
+7
+7
+7
+7
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+1
+1
+1
+1
+1
+1
+1
+1
+5
+5
+5
+5
+5
+5
+5
+5
+2
+2
+2
+2
+2
+2
+2
+2
+3
+3
+3
+3
+3
+3
+3
+3
+9
+9
+9
+9
+9
+9
+9
+9
+21
+21
+21
+21
+21
+21
+21
+21
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-8
+-8
+-8
+-8
+-8
+-8
+-8
+-8
+1
+1
+1
+1
+1
+1
+1
+1
+-6
+-6
+-6
+-6
+-6
+-6
+-6
+-6
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+3
+3
+3
+3
+3
+3
+3
+3
+0
+0
+0
+0
+0
+0
+0
+0
+29
+30
+31
+31
+30
+26
+22
+19
+-1
+0
+1
+2
+0
+-3
+-7
+-10
+-10
+-8
+-6
+-5
+-6
+-9
+-13
+-15
+-8
+-6
+-3
+-2
+-2
+-4
+-7
+-9
+-19
+-17
+-14
+-12
+-11
+-13
+-15
+-17
+-21
+-18
+-15
+-12
+-11
+-12
+-14
+-15
+-13
+-10
+-6
+-3
+-1
+-2
+-4
+-5
+-16
+-13
+-9
+-6
+-4
+-4
+-5
+-7
+35
+32
+29
+27
+26
+27
+29
+31
+19
+16
+13
+10
+9
+10
+12
+13
+10
+8
+4
+1
+-1
+-1
+1
+2
+17
+14
+10
+5
+3
+3
+4
+5
+22
+19
+14
+10
+6
+5
+6
+7
+21
+17
+12
+7
+3
+1
+1
+2
+23
+19
+14
+8
+3
+1
+1
+1
+30
+26
+20
+14
+10
+7
+7
+7
+-9
+-11
+-13
+-16
+-16
+-16
+-15
+-14
+-24
+-25
+-28
+-30
+-31
+-30
+-29
+-28
+-34
+-36
+-38
+-41
+-42
+-41
+-40
+-39
+-36
+-37
+-40
+-42
+-43
+-42
+-41
+-40
+-37
+-39
+-41
+-44
+-44
+-44
+-43
+-42
+-43
+-45
+-47
+-49
+-50
+-50
+-49
+-48
+-44
+-46
+-48
+-50
+-51
+-51
+-50
+-49
+-40
+-42
+-44
+-47
+-47
+-47
+-46
+-45
+30
+29
+28
+26
+24
+22
+21
+20
+12
+11
+10
+8
+7
+5
+4
+4
+0
+-1
+-1
+-3
+-4
+-5
+-5
+-6
+1
+1
+1
+0
+0
+0
+-1
+-1
+2
+2
+2
+2
+3
+3
+3
+3
+-5
+-4
+-4
+-3
+-2
+0
+0
+1
+-6
+-5
+-4
+-3
+-1
+0
+1
+2
+-1
+0
+1
+3
+5
+6
+8
+8
+25
+25
+25
+25
+25
+25
+25
+25
+8
+8
+8
+8
+8
+8
+8
+8
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+0
+0
+0
+0
+0
+0
+0
+0
+2
+2
+2
+2
+2
+2
+2
+2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+4
+4
+4
+4
+4
+4
+4
+4
+-10
+-12
+-14
+-17
+-20
+-24
+-26
+-27
+-26
+-28
+-29
+-33
+-36
+-39
+-41
+-42
+-36
+-37
+-39
+-42
+-44
+-47
+-49
+-50
+-32
+-32
+-34
+-36
+-38
+-40
+-42
+-43
+-25
+-25
+-27
+-28
+-30
+-31
+-32
+-33
+-25
+-25
+-26
+-27
+-28
+-29
+-30
+-30
+-25
+-25
+-26
+-27
+-27
+-28
+-28
+-29
+-23
+-23
+-23
+-24
+-24
+-25
+-25
+-25
+-22
+-22
+-22
+-23
+-23
+-24
+-24
+-24
+-34
+-34
+-34
+-35
+-36
+-36
+-37
+-37
+-40
+-40
+-41
+-42
+-43
+-44
+-44
+-45
+-35
+-35
+-36
+-38
+-39
+-41
+-42
+-43
+-30
+-31
+-32
+-34
+-36
+-38
+-40
+-41
+-29
+-30
+-32
+-35
+-38
+-40
+-42
+-43
+-26
+-27
+-29
+-32
+-35
+-38
+-40
+-42
+-19
+-21
+-23
+-26
+-30
+-33
+-35
+-36
+-17
+-16
+-12
+-7
+-1
+3
+7
+9
+-32
+-30
+-27
+-22
+-17
+-12
+-8
+-7
+-43
+-41
+-38
+-33
+-29
+-25
+-21
+-19
+-43
+-41
+-38
+-34
+-30
+-26
+-23
+-22
+-41
+-39
+-37
+-34
+-30
+-27
+-24
+-23
+-42
+-41
+-38
+-35
+-32
+-30
+-27
+-26
+-38
+-37
+-35
+-32
+-30
+-27
+-26
+-24
+-31
+-30
+-28
+-26
+-24
+-21
+-20
+-19
+59
+56
+53
+51
+53
+59
+66
+71
+42
+39
+36
+34
+37
+43
+50
+55
+31
+28
+25
+24
+27
+34
+42
+47
+32
+29
+27
+27
+30
+38
+46
+51
+30
+27
+25
+26
+30
+38
+47
+52
+19
+17
+15
+16
+22
+30
+39
+45
+13
+11
+10
+11
+17
+26
+36
+42
+15
+13
+12
+14
+20
+29
+39
+45
+43
+35
+23
+11
+3
+1
+2
+4
+35
+27
+15
+4
+-4
+-7
+-6
+-4
+34
+27
+17
+6
+-2
+-5
+-6
+-5
+43
+38
+28
+18
+10
+6
+5
+5
+49
+44
+36
+27
+19
+14
+12
+11
+47
+43
+36
+28
+20
+14
+10
+9
+47
+43
+37
+30
+22
+15
+11
+8
+50
+47
+42
+34
+27
+20
+14
+12
+15
+16
+18
+20
+21
+20
+19
+17
+5
+6
+8
+10
+10
+9
+7
+6
+1
+2
+4
+5
+5
+4
+2
+0
+8
+9
+10
+11
+10
+8
+6
+4
+13
+13
+14
+14
+13
+11
+8
+6
+11
+12
+12
+12
+10
+7
+4
+2
+12
+13
+13
+12
+10
+7
+3
+1
+17
+17
+17
+17
+14
+11
+7
+5
+-11
+-9
+-5
+0
+7
+12
+17
+20
+-30
+-29
+-26
+-22
+-16
+-10
+-4
+-1
+-45
+-44
+-43
+-40
+-35
+-28
+-22
+-18
+-44
+-46
+-47
+-46
+-41
+-34
+-26
+-22
+-43
+-46
+-50
+-51
+-47
+-39
+-30
+-24
+-47
+-52
+-58
+-61
+-58
+-49
+-39
+-32
+-45
+-51
+-59
+-63
+-61
+-52
+-40
+-33
+-38
+-44
+-53
+-58
+-56
+-47
+-35
+-27
+21
+23
+25
+27
+27
+25
+23
+21
+2
+4
+7
+9
+9
+7
+4
+2
+-10
+-9
+-6
+-4
+-4
+-6
+-9
+-10
+-10
+-8
+-5
+-3
+-3
+-5
+-8
+-10
+-10
+-8
+-6
+-4
+-4
+-6
+-8
+-10
+-17
+-15
+-13
+-11
+-11
+-13
+-15
+-17
+-19
+-17
+-15
+-13
+-13
+-15
+-17
+-19
+-15
+-13
+-10
+-8
+-8
+-10
+-13
+-15
+18
+17
+15
+15
+16
+19
+23
+25
+-9
+-10
+-12
+-12
+-11
+-8
+-4
+-2
+-12
+-14
+-15
+-16
+-14
+-11
+-8
+-5
+-5
+-6
+-8
+-9
+-7
+-4
+0
+2
+-13
+-14
+-16
+-17
+-15
+-12
+-8
+-6
+-13
+-15
+-16
+-17
+-16
+-13
+-9
+-6
+-6
+-8
+-9
+-10
+-8
+-5
+-2
+1
+-10
+-11
+-13
+-14
+-12
+-9
+-5
+-3
+29
+28
+28
+27
+26
+25
+24
+23
+11
+11
+11
+10
+10
+9
+9
+9
+0
+0
+0
+1
+1
+2
+2
+3
+2
+2
+4
+6
+8
+10
+11
+12
+3
+4
+7
+10
+14
+17
+19
+21
+-2
+-1
+3
+7
+12
+17
+20
+22
+-3
+-1
+3
+9
+15
+20
+25
+27
+2
+5
+9
+15
+22
+28
+33
+35
+26
+28
+31
+33
+33
+31
+28
+26
+11
+13
+16
+18
+18
+16
+13
+11
+3
+5
+8
+10
+10
+8
+5
+3
+8
+10
+13
+15
+15
+13
+10
+8
+10
+12
+15
+17
+17
+15
+12
+10
+4
+6
+9
+11
+11
+9
+6
+4
+1
+3
+6
+8
+8
+6
+3
+1
+5
+7
+10
+12
+12
+10
+7
+5
+40
+38
+34
+30
+28
+28
+30
+31
+17
+14
+11
+7
+6
+6
+8
+9
+0
+-2
+-5
+-8
+-9
+-9
+-7
+-5
+2
+0
+-3
+-5
+-5
+-4
+-1
+1
+7
+5
+2
+1
+1
+4
+6
+9
+1
+0
+-2
+-3
+-2
+1
+4
+6
+-5
+-6
+-8
+-8
+-7
+-3
+1
+3
+-5
+-6
+-8
+-8
+-6
+-2
+2
+4
+39
+32
+22
+13
+10
+12
+18
+22
+17
+10
+0
+-9
+-12
+-9
+-3
+2
+-2
+-9
+-18
+-26
+-29
+-25
+-19
+-14
+-5
+-12
+-21
+-29
+-30
+-26
+-19
+-14
+-6
+-13
+-21
+-28
+-29
+-24
+-17
+-11
+-10
+-16
+-24
+-30
+-31
+-25
+-17
+-12
+-7
+-13
+-21
+-26
+-26
+-20
+-12
+-6
+1
+-4
+-12
+-17
+-17
+-11
+-2
+4
+20
+25
+33
+39
+40
+35
+28
+23
+4
+8
+16
+21
+21
+16
+10
+5
+-5
+-1
+4
+8
+7
+3
+-2
+-6
+1
+3
+6
+8
+7
+3
+-1
+-4
+6
+7
+7
+7
+5
+2
+-1
+-3
+4
+3
+1
+-1
+-3
+-6
+-7
+-8
+6
+4
+1
+-3
+-6
+-8
+-9
+-9
+13
+10
+6
+1
+-2
+-4
+-4
+-3
+23
+22
+20
+20
+21
+24
+28
+30
+2
+1
+-1
+-2
+0
+3
+7
+9
+-14
+-15
+-17
+-18
+-16
+-13
+-9
+-7
+-15
+-16
+-18
+-18
+-17
+-14
+-10
+-8
+-12
+-14
+-15
+-16
+-15
+-11
+-8
+-5
+-13
+-14
+-16
+-17
+-15
+-12
+-8
+-6
+-8
+-9
+-11
+-11
+-10
+-7
+-3
+-1
+2
+1
+-1
+-2
+0
+3
+7
+9
+23
+23
+23
+23
+23
+23
+23
+23
+7
+7
+7
+7
+7
+7
+7
+7
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+1
+1
+1
+1
+1
+1
+1
+1
+5
+5
+5
+5
+5
+5
+5
+5
+2
+2
+2
+2
+2
+2
+2
+2
+3
+3
+3
+3
+3
+3
+3
+3
+9
+9
+9
+9
+9
+9
+9
+9
+24
+25
+26
+25
+23
+18
+14
+11
+6
+6
+7
+7
+4
+-1
+-5
+-8
+-7
+-6
+-5
+-6
+-8
+-13
+-18
+-21
+-4
+-3
+-2
+-3
+-6
+-10
+-15
+-18
+0
+0
+1
+1
+-2
+-7
+-11
+-14
+-2
+-1
+0
+-1
+-3
+-8
+-13
+-16
+2
+3
+4
+3
+0
+-4
+-9
+-12
+10
+11
+12
+11
+8
+4
+-1
+-4
+25
+26
+28
+30
+33
+36
+38
+39
+7
+8
+10
+12
+15
+18
+20
+21
+-6
+-5
+-3
+-1
+2
+5
+7
+8
+-5
+-4
+-2
+0
+3
+6
+8
+9
+-6
+-5
+-3
+0
+2
+5
+7
+8
+-13
+-12
+-10
+-7
+-5
+-2
+0
+1
+-15
+-14
+-12
+-9
+-7
+-4
+-2
+-1
+-10
+-9
+-7
+-5
+-2
+1
+3
+4
+34
+33
+31
+28
+26
+23
+21
+20
+17
+15
+14
+11
+8
+6
+4
+3
+6
+5
+3
+0
+-2
+-5
+-7
+-8
+9
+8
+6
+4
+1
+-2
+-4
+-5
+11
+10
+8
+6
+3
+1
+-1
+-2
+7
+6
+4
+1
+-1
+-4
+-6
+-7
+7
+6
+4
+1
+-1
+-4
+-6
+-7
+13
+11
+10
+7
+4
+2
+0
+-1
+12
+13
+16
+19
+22
+25
+27
+29
+-7
+-6
+-3
+-1
+3
+5
+8
+9
+-19
+-18
+-16
+-14
+-11
+-9
+-7
+-6
+-16
+-15
+-14
+-12
+-10
+-9
+-7
+-7
+-11
+-11
+-10
+-9
+-8
+-7
+-7
+-6
+-12
+-12
+-12
+-12
+-11
+-11
+-11
+-11
+-8
+-8
+-8
+-9
+-9
+-9
+-9
+-9
+0
+0
+0
+-1
+-1
+-2
+-2
+-2
+22
+21
+20
+21
+24
+28
+33
+36
+2
+1
+1
+1
+4
+8
+13
+16
+-12
+-13
+-14
+-13
+-10
+-6
+-1
+2
+-12
+-13
+-14
+-13
+-10
+-6
+-1
+2
+-11
+-12
+-13
+-12
+-9
+-5
+0
+3
+-15
+-16
+-17
+-16
+-13
+-9
+-4
+-1
+-13
+-14
+-15
+-14
+-11
+-7
+-2
+1
+-6
+-7
+-8
+-7
+-4
+0
+5
+8
+34
+34
+34
+34
+34
+34
+34
+34
+17
+17
+17
+17
+17
+17
+17
+17
+5
+5
+5
+5
+5
+5
+5
+5
+7
+7
+7
+7
+7
+7
+7
+7
+8
+8
+8
+8
+8
+8
+8
+8
+2
+2
+2
+2
+2
+2
+2
+2
+1
+1
+1
+1
+1
+1
+1
+1
+6
+6
+6
+6
+6
+6
+6
+6
+46
+43
+38
+33
+30
+28
+29
+29
+27
+24
+20
+15
+12
+11
+12
+12
+14
+11
+7
+3
+1
+0
+1
+2
+15
+12
+8
+4
+3
+3
+5
+6
+14
+11
+8
+5
+4
+5
+7
+9
+6
+4
+1
+-1
+-1
+0
+3
+4
+4
+2
+-1
+-2
+-2
+0
+3
+5
+8
+6
+4
+3
+3
+6
+9
+11
+43
+46
+50
+53
+54
+54
+52
+50
+24
+27
+30
+34
+35
+34
+33
+31
+11
+13
+17
+20
+22
+21
+19
+18
+12
+15
+18
+22
+23
+22
+21
+19
+14
+17
+21
+24
+25
+25
+23
+21
+12
+14
+18
+21
+23
+22
+20
+19
+15
+17
+21
+24
+25
+25
+23
+21
+22
+25
+28
+32
+33
+32
+31
+29
+36
+35
+34
+33
+35
+39
+43
+46
+21
+20
+19
+18
+20
+23
+27
+30
+15
+13
+11
+11
+12
+14
+18
+20
+22
+20
+18
+16
+16
+19
+22
+24
+26
+24
+21
+19
+18
+20
+22
+24
+21
+19
+16
+13
+12
+12
+14
+16
+20
+17
+14
+10
+9
+9
+10
+12
+24
+22
+18
+14
+12
+12
+14
+15
+39
+39
+37
+35
+33
+31
+29
+28
+26
+25
+24
+21
+19
+16
+15
+14
+21
+20
+18
+15
+12
+9
+7
+6
+29
+27
+25
+21
+18
+14
+11
+10
+32
+30
+27
+23
+18
+14
+11
+9
+24
+22
+18
+13
+8
+3
+0
+-2
+19
+17
+13
+7
+1
+-4
+-8
+-10
+21
+19
+14
+9
+3
+-3
+-7
+-9
+21
+21
+20
+18
+17
+16
+15
+14
+2
+2
+1
+-1
+-2
+-3
+-4
+-5
+7
+6
+5
+4
+3
+1
+0
+0
+14
+14
+13
+12
+10
+9
+8
+8
+0
+0
+-1
+-3
+-4
+-5
+-6
+-7
+-8
+-8
+-9
+-10
+-12
+-13
+-14
+-14
+-3
+-3
+-4
+-6
+-7
+-8
+-9
+-10
+-7
+-7
+-8
+-9
+-11
+-12
+-13
+-13
+6
+5
+4
+2
+0
+-2
+-3
+-4
+-24
+-25
+-26
+-28
+-29
+-31
+-32
+-33
+-25
+-25
+-26
+-27
+-28
+-29
+-30
+-30
+-12
+-12
+-12
+-13
+-13
+-13
+-14
+-14
+-26
+-25
+-25
+-25
+-24
+-24
+-24
+-24
+-36
+-36
+-35
+-34
+-33
+-32
+-31
+-31
+-26
+-25
+-24
+-23
+-21
+-19
+-18
+-18
+-17
+-17
+-15
+-14
+-12
+-10
+-9
+-8
+-2
+0
+2
+2
+1
+-2
+-6
+-8
+-26
+-24
+-22
+-22
+-23
+-26
+-30
+-32
+-24
+-23
+-21
+-21
+-22
+-25
+-29
+-31
+-13
+-11
+-9
+-9
+-10
+-13
+-17
+-19
+-18
+-17
+-15
+-14
+-15
+-19
+-22
+-25
+-18
+-16
+-15
+-14
+-15
+-19
+-22
+-25
+-11
+-10
+-8
+-8
+-9
+-12
+-16
+-18
+-16
+-15
+-13
+-12
+-14
+-17
+-21
+-23
+-8
+-7
+-6
+-6
+-8
+-11
+-15
+-18
+-30
+-29
+-27
+-27
+-28
+-32
+-36
+-38
+-26
+-24
+-22
+-21
+-22
+-25
+-29
+-31
+-11
+-9
+-7
+-5
+-6
+-8
+-11
+-13
+-16
+-14
+-11
+-8
+-8
+-9
+-12
+-14
+-17
+-14
+-11
+-8
+-7
+-8
+-10
+-11
+-12
+-10
+-6
+-2
+-1
+-1
+-3
+-4
+-18
+-16
+-12
+-8
+-6
+-6
+-8
+-9
+-11
+-13
+-17
+-22
+-27
+-33
+-36
+-39
+-34
+-36
+-40
+-45
+-50
+-56
+-59
+-61
+-31
+-33
+-37
+-42
+-47
+-52
+-56
+-58
+-16
+-18
+-22
+-27
+-33
+-38
+-42
+-44
+-19
+-21
+-25
+-30
+-35
+-40
+-44
+-46
+-16
+-18
+-22
+-27
+-33
+-38
+-42
+-44
+-8
+-10
+-14
+-19
+-24
+-29
+-33
+-35
+-11
+-14
+-17
+-23
+-28
+-33
+-37
+-39
+-92
+-93
+-93
+-94
+-95
+-96
+-97
+-97
+-123
+-123
+-124
+-125
+-126
+-127
+-127
+-128
+-128
+-129
+-129
+-130
+-131
+-132
+-133
+-133
+-117
+-118
+-118
+-119
+-120
+-121
+-122
+-122
+-122
+-123
+-123
+-124
+-125
+-126
+-127
+-127
+-127
+-128
+-128
+-129
+-130
+-131
+-132
+-132
+-123
+-123
+-124
+-125
+-126
+-127
+-127
+-128
+-124
+-124
+-125
+-126
+-127
+-128
+-128
+-129
+-99
+-98
+-94
+-89
+-84
+-80
+-77
+-75
+-121
+-118
+-113
+-107
+-101
+-96
+-92
+-90
+-123
+-119
+-111
+-103
+-95
+-90
+-86
+-84
+-116
+-111
+-102
+-92
+-84
+-79
+-76
+-74
+-121
+-116
+-107
+-97
+-90
+-86
+-85
+-86
+-118
+-112
+-104
+-96
+-92
+-91
+-94
+-96
+-108
+-103
+-96
+-90
+-89
+-92
+-98
+-102
+-109
+-105
+-98
+-94
+-95
+-101
+-109
+-114
+-9
+-10
+-13
+-14
+-14
+-12
+-9
+-7
+-21
+-23
+-24
+-25
+-24
+-22
+-18
+-16
+-24
+-25
+-26
+-26
+-24
+-21
+-17
+-14
+-14
+-15
+-15
+-14
+-10
+-5
+0
+3
+-9
+-9
+-8
+-5
+0
+6
+12
+16
+-14
+-14
+-12
+-8
+-2
+6
+13
+18
+-17
+-16
+-14
+-9
+-2
+7
+15
+20
+-14
+-13
+-10
+-5
+3
+13
+21
+26
+-2
+5
+13
+15
+12
+11
+14
+18
+-16
+-10
+-4
+-4
+-9
+-11
+-8
+-4
+-1
+3
+6
+4
+-3
+-5
+-3
+2
+23
+25
+25
+20
+13
+10
+13
+18
+22
+24
+22
+15
+8
+6
+12
+18
+20
+20
+18
+11
+5
+6
+15
+23
+20
+20
+18
+12
+8
+11
+23
+32
+10
+10
+8
+2
+0
+5
+18
+29
+21
+5
+-4
+0
+1
+-7
+-10
+-7
+21
+5
+-4
+0
+1
+-7
+-10
+-7
+21
+5
+-4
+0
+1
+-7
+-10
+-7
+21
+5
+-4
+0
+1
+-7
+-10
+-7
+21
+5
+-4
+0
+1
+-7
+-10
+-7
+21
+5
+-4
+0
+1
+-7
+-10
+-7
+21
+5
+-4
+0
+1
+-7
+-10
+-7
+21
+5
+-4
+0
+1
+-7
+-10
+-7
+-31
+-24
+-6
+16
+30
+24
+2
+-17
+-40
+-32
+-14
+9
+24
+23
+8
+-7
+-52
+-44
+-26
+-4
+14
+21
+16
+9
+-63
+-55
+-39
+-20
+0
+14
+22
+24
+-66
+-60
+-49
+-35
+-17
+3
+21
+33
+-63
+-60
+-55
+-48
+-35
+-12
+15
+34
+-56
+-55
+-56
+-57
+-49
+-26
+7
+31
+-51
+-52
+-56
+-61
+-57
+-34
+1
+27
+1
+-4
+-3
+4
+3
+2
+14
+32
+-1
+-7
+-5
+1
+0
+-2
+10
+28
+-5
+-10
+-9
+-3
+-5
+-7
+5
+22
+-7
+-13
+-12
+-8
+-9
+-13
+-1
+16
+-8
+-14
+-14
+-10
+-13
+-16
+-6
+12
+-7
+-13
+-14
+-10
+-14
+-18
+-8
+9
+-5
+-11
+-12
+-9
+-13
+-18
+-8
+9
+-3
+-10
+-11
+-8
+-12
+-18
+-8
+9
+52
+52
+52
+52
+52
+52
+52
+52
+37
+37
+37
+37
+37
+37
+37
+37
+30
+30
+30
+30
+30
+30
+30
+30
+37
+37
+37
+37
+37
+37
+37
+37
+40
+40
+40
+40
+40
+40
+40
+40
+35
+35
+35
+35
+35
+35
+35
+35
+34
+34
+34
+34
+34
+34
+34
+34
+38
+38
+38
+38
+38
+38
+38
+38
+-55
+-60
+-60
+-59
+-62
+-58
+-33
+-3
+-54
+-59
+-59
+-58
+-62
+-59
+-35
+-7
+-52
+-57
+-57
+-56
+-62
+-62
+-40
+-14
+-51
+-54
+-54
+-55
+-62
+-65
+-47
+-23
+-48
+-52
+-51
+-52
+-62
+-69
+-54
+-32
+-47
+-49
+-49
+-50
+-63
+-72
+-61
+-41
+-45
+-48
+-47
+-49
+-63
+-75
+-66
+-47
+-44
+-47
+-46
+-48
+-63
+-76
+-69
+-51
+19
+23
+12
+-14
+-34
+-38
+-41
+-46
+24
+28
+18
+-9
+-30
+-36
+-41
+-48
+29
+35
+27
+1
+-21
+-31
+-39
+-48
+31
+39
+35
+13
+-9
+-20
+-32
+-43
+25
+38
+40
+24
+6
+-4
+-17
+-30
+12
+30
+41
+33
+22
+14
+2
+-11
+-2
+21
+38
+39
+34
+31
+21
+8
+-11
+14
+36
+42
+41
+40
+32
+20
+-16
+-20
+-16
+-10
+-11
+-16
+-8
+8
+-14
+-18
+-15
+-10
+-12
+-18
+-10
+5
+-10
+-14
+-13
+-8
+-13
+-20
+-13
+2
+-6
+-11
+-10
+-6
+-11
+-19
+-13
+2
+-4
+-8
+-7
+-2
+-7
+-14
+-7
+8
+-3
+-7
+-4
+2
+0
+-5
+3
+19
+-4
+-7
+-2
+7
+7
+4
+14
+31
+-5
+-7
+-1
+9
+11
+10
+21
+38
+4
+14
+25
+30
+30
+28
+29
+32
+-4
+3
+12
+16
+17
+19
+26
+32
+-16
+-12
+-7
+-5
+-2
+7
+22
+33
+-25
+-24
+-23
+-22
+-17
+-3
+18
+34
+-28
+-27
+-27
+-28
+-22
+-5
+18
+35
+-23
+-21
+-21
+-21
+-15
+0
+21
+37
+-14
+-11
+-8
+-7
+-3
+8
+25
+39
+-8
+-3
+1
+3
+6
+14
+28
+39
+-2
+-6
+-4
+4
+5
+5
+18
+37
+-3
+-8
+-5
+2
+3
+2
+16
+34
+-4
+-9
+-7
+0
+0
+-1
+12
+30
+-4
+-9
+-8
+-2
+-2
+-4
+8
+26
+-2
+-8
+-7
+-1
+-2
+-5
+7
+25
+2
+-4
+-4
+1
+-1
+-4
+7
+25
+6
+0
+0
+4
+1
+-2
+9
+26
+8
+2
+2
+6
+3
+-1
+10
+27
+27
+11
+2
+6
+7
+-1
+-4
+-1
+27
+11
+2
+6
+7
+-1
+-4
+-1
+27
+11
+2
+6
+7
+-1
+-4
+-1
+27
+11
+2
+6
+7
+-1
+-4
+-1
+27
+11
+2
+6
+7
+-1
+-4
+-1
+27
+11
+2
+6
+7
+-1
+-4
+-1
+27
+11
+2
+6
+7
+-1
+-4
+-1
+27
+11
+2
+6
+7
+-1
+-4
+-1
+-5
+-5
+4
+13
+8
+-5
+-7
+2
+-7
+-7
+1
+10
+4
+-8
+-9
+1
+-9
+-10
+-3
+4
+-1
+-12
+-12
+-1
+-10
+-12
+-7
+-1
+-6
+-17
+-14
+-2
+-9
+-12
+-10
+-5
+-10
+-19
+-14
+-1
+-6
+-11
+-10
+-6
+-11
+-19
+-13
+2
+-3
+-8
+-9
+-6
+-11
+-18
+-10
+5
+0
+-7
+-8
+-6
+-11
+-17
+-8
+7
+37
+20
+10
+13
+12
+3
+-1
+1
+37
+20
+10
+13
+12
+3
+-1
+1
+37
+20
+10
+13
+12
+3
+-1
+1
+37
+20
+10
+13
+12
+3
+-1
+1
+37
+20
+10
+13
+12
+3
+-1
+1
+37
+20
+10
+13
+12
+3
+-1
+1
+37
+20
+10
+13
+12
+3
+-1
+1
+37
+20
+10
+13
+12
+3
+-1
+1
+-8
+-12
+-9
+-2
+-5
+-10
+-2
+14
+-8
+-12
+-9
+-2
+-5
+-10
+-2
+14
+-8
+-12
+-9
+-2
+-5
+-10
+-2
+14
+-8
+-12
+-9
+-2
+-5
+-10
+-2
+14
+-8
+-12
+-9
+-2
+-5
+-10
+-2
+14
+-8
+-12
+-9
+-2
+-5
+-10
+-2
+14
+-8
+-12
+-9
+-2
+-5
+-10
+-2
+14
+-8
+-12
+-9
+-2
+-5
+-10
+-2
+14
+34
+18
+9
+13
+14
+6
+2
+5
+34
+18
+9
+13
+14
+6
+3
+6
+35
+19
+10
+14
+15
+7
+4
+7
+37
+21
+11
+15
+16
+9
+5
+8
+38
+22
+13
+17
+18
+10
+6
+10
+39
+23
+14
+18
+19
+11
+8
+11
+40
+24
+15
+19
+20
+12
+9
+12
+41
+25
+16
+20
+21
+13
+9
+12
+10
+9
+7
+5
+2
+0
+-2
+-3
+17
+14
+8
+3
+0
+-1
+-1
+0
+30
+23
+11
+1
+-4
+-3
+1
+5
+43
+32
+17
+2
+-5
+-4
+2
+7
+53
+42
+24
+8
+-2
+-3
+2
+6
+58
+48
+32
+16
+5
+0
+-1
+0
+60
+52
+40
+26
+13
+3
+-4
+-6
+59
+54
+44
+32
+18
+5
+-6
+-11
+37
+21
+12
+16
+17
+9
+6
+9
+37
+21
+12
+16
+17
+9
+6
+9
+37
+21
+12
+16
+17
+9
+6
+9
+37
+21
+12
+16
+17
+9
+6
+9
+37
+21
+12
+16
+17
+9
+6
+9
+37
+21
+12
+16
+17
+9
+6
+9
+37
+21
+12
+16
+17
+9
+6
+9
+37
+21
+12
+16
+17
+9
+6
+9
+-2
+16
+37
+43
+32
+16
+5
+0
+-15
+3
+24
+33
+28
+15
+7
+3
+-34
+-18
+4
+18
+21
+16
+10
+8
+-51
+-37
+-15
+3
+14
+15
+13
+11
+-57
+-47
+-28
+-7
+9
+15
+14
+11
+-53
+-46
+-32
+-12
+6
+15
+13
+8
+-42
+-39
+-30
+-12
+6
+15
+11
+4
+-34
+-34
+-27
+-11
+7
+14
+9
+1
+25
+20
+14
+8
+7
+9
+13
+16
+22
+20
+16
+12
+10
+10
+10
+11
+20
+20
+20
+18
+15
+10
+6
+3
+19
+21
+23
+23
+18
+10
+1
+-5
+23
+25
+26
+24
+17
+8
+-2
+-8
+31
+29
+26
+21
+13
+4
+-3
+-8
+39
+34
+25
+16
+7
+0
+-4
+-5
+44
+37
+25
+12
+3
+-2
+-3
+-3
+20
+4
+-2
+12
+26
+25
+21
+20
+17
+1
+-5
+9
+23
+23
+20
+21
+13
+-5
+-11
+3
+18
+20
+19
+21
+6
+-12
+-19
+-5
+11
+16
+18
+22
+-1
+-20
+-28
+-14
+4
+12
+17
+22
+-7
+-27
+-36
+-22
+-2
+8
+15
+23
+-12
+-32
+-42
+-28
+-7
+5
+15
+24
+-15
+-35
+-45
+-31
+-10
+3
+14
+24
+-18
+-12
+-4
+3
+13
+28
+48
+62
+-14
+-11
+-6
+-1
+8
+27
+51
+69
+-8
+-9
+-10
+-9
+1
+25
+55
+76
+-2
+-6
+-13
+-16
+-7
+19
+54
+79
+2
+-5
+-15
+-22
+-15
+11
+46
+71
+3
+-4
+-15
+-24
+-20
+1
+32
+55
+3
+-3
+-14
+-24
+-24
+-8
+17
+37
+2
+-3
+-13
+-23
+-26
+-14
+8
+24
+-1
+-30
+-37
+-33
+-37
+-22
+9
+23
+-1
+-30
+-37
+-33
+-38
+-23
+7
+21
+0
+-29
+-37
+-34
+-39
+-24
+6
+19
+2
+-27
+-35
+-33
+-39
+-25
+5
+18
+7
+-23
+-31
+-29
+-36
+-23
+6
+19
+13
+-17
+-26
+-25
+-32
+-20
+9
+22
+19
+-11
+-20
+-20
+-28
+-16
+12
+25
+23
+-7
+-17
+-17
+-25
+-13
+15
+27
+41
+51
+60
+57
+48
+45
+53
+63
+36
+44
+50
+45
+37
+38
+49
+62
+24
+29
+32
+26
+19
+24
+42
+58
+6
+10
+10
+4
+0
+9
+31
+50
+-10
+-6
+-4
+-8
+-10
+1
+25
+45
+-17
+-9
+-3
+-2
+-2
+8
+31
+49
+-13
+-2
+11
+16
+17
+26
+45
+61
+-7
+7
+23
+31
+34
+41
+57
+72
+41
+-2
+-2
+-9
+-13
+9
+18
+34
+46
+3
+5
+-1
+-5
+15
+23
+38
+52
+11
+16
+12
+8
+26
+31
+44
+56
+18
+26
+25
+21
+37
+38
+49
+57
+22
+34
+35
+31
+44
+42
+50
+54
+21
+37
+41
+37
+47
+42
+47
+49
+18
+37
+42
+38
+47
+39
+42
+46
+16
+36
+42
+38
+46
+36
+38
+3
+0
+0
+2
+2
+-4
+-17
+-28
+-5
+-9
+-10
+-9
+-6
+-10
+-19
+-27
+-15
+-20
+-22
+-20
+-15
+-13
+-16
+-21
+-24
+-28
+-29
+-24
+-14
+-7
+-6
+-9
+-33
+-34
+-30
+-19
+-4
+5
+7
+6
+-46
+-41
+-29
+-11
+7
+18
+18
+14
+-62
+-52
+-32
+-6
+15
+24
+21
+14
+-74
+-60
+-35
+-5
+18
+26
+20
+11
+32
+28
+22
+16
+11
+9
+8
+8
+47
+44
+38
+32
+28
+26
+25
+25
+40
+36
+31
+25
+22
+20
+20
+20
+5
+2
+-3
+-8
+-11
+-12
+-12
+-11
+-16
+-18
+-23
+-27
+-29
+-30
+-29
+-28
+-8
+-10
+-14
+-18
+-19
+-19
+-17
+-16
+1
+-2
+-5
+-8
+-9
+-8
+-7
+-5
+-4
+-6
+-9
+-12
+-13
+-12
+-10
+-8
+3
+3
+3
+3
+3
+3
+3
+3
+10
+10
+10
+10
+10
+10
+10
+10
+6
+6
+6
+6
+6
+6
+6
+6
+-12
+-12
+-12
+-12
+-12
+-12
+-12
+-12
+-21
+-21
+-21
+-21
+-21
+-21
+-21
+-21
+-15
+-15
+-15
+-15
+-15
+-15
+-15
+-15
+-9
+-9
+-9
+-9
+-9
+-9
+-9
+-9
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+3
+3
+3
+3
+3
+3
+3
+3
+10
+10
+10
+10
+10
+10
+10
+10
+6
+6
+6
+6
+6
+6
+6
+6
+-12
+-12
+-12
+-12
+-12
+-12
+-12
+-12
+-21
+-21
+-21
+-21
+-21
+-21
+-21
+-21
+-15
+-15
+-15
+-15
+-15
+-15
+-15
+-15
+-9
+-9
+-9
+-9
+-9
+-9
+-9
+-9
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-1
+0
+2
+5
+9
+12
+14
+15
+7
+8
+10
+13
+16
+18
+21
+22
+3
+4
+6
+8
+11
+13
+15
+16
+-12
+-12
+-10
+-9
+-7
+-5
+-4
+-3
+-20
+-19
+-18
+-18
+-17
+-16
+-15
+-15
+-12
+-12
+-12
+-11
+-11
+-11
+-11
+-11
+-4
+-4
+-5
+-5
+-5
+-5
+-6
+-6
+-5
+-5
+-5
+-6
+-6
+-7
+-7
+-7
+35
+35
+35
+35
+35
+35
+35
+35
+40
+40
+40
+40
+40
+40
+40
+40
+29
+29
+29
+29
+29
+29
+29
+29
+0
+0
+0
+0
+0
+0
+0
+0
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-20
+-20
+-20
+-20
+-20
+-20
+-20
+-20
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+16
+15
+12
+9
+6
+3
+1
+0
+20
+19
+17
+14
+11
+8
+6
+5
+10
+9
+8
+5
+3
+1
+-1
+-2
+-12
+-13
+-14
+-15
+-17
+-19
+-20
+-21
+-25
+-25
+-26
+-27
+-28
+-28
+-29
+-30
+-20
+-20
+-20
+-20
+-21
+-21
+-21
+-21
+-13
+-13
+-13
+-13
+-13
+-12
+-12
+-12
+-13
+-13
+-13
+-12
+-12
+-11
+-11
+-11
+9
+11
+16
+22
+29
+35
+40
+42
+16
+18
+23
+28
+35
+40
+44
+47
+9
+11
+14
+19
+24
+28
+32
+34
+-16
+-15
+-12
+-9
+-5
+-2
+1
+2
+-32
+-31
+-30
+-28
+-26
+-24
+-23
+-22
+-26
+-26
+-25
+-25
+-24
+-23
+-23
+-23
+-12
+-12
+-12
+-13
+-13
+-14
+-14
+-14
+-5
+-5
+-6
+-7
+-8
+-9
+-10
+-10
+35
+28
+17
+7
+2
+2
+6
+9
+42
+35
+25
+16
+12
+12
+16
+19
+32
+27
+19
+12
+9
+9
+12
+15
+3
+-1
+-6
+-10
+-12
+-12
+-10
+-8
+-21
+-22
+-24
+-26
+-27
+-26
+-25
+-24
+-23
+-23
+-22
+-21
+-21
+-20
+-19
+-19
+-17
+-15
+-12
+-10
+-8
+-7
+-7
+-8
+-14
+-12
+-8
+-4
+-2
+-1
+-2
+-2
+10
+11
+11
+10
+7
+2
+-3
+-6
+16
+17
+18
+17
+14
+9
+4
+1
+10
+11
+13
+12
+10
+5
+1
+-2
+-9
+-7
+-6
+-6
+-7
+-11
+-15
+-17
+-20
+-18
+-16
+-15
+-16
+-19
+-23
+-25
+-16
+-14
+-11
+-10
+-10
+-12
+-15
+-17
+-11
+-9
+-6
+-4
+-4
+-5
+-8
+-10
+-12
+-10
+-7
+-5
+-4
+-6
+-8
+-10
+11
+17
+25
+31
+32
+28
+21
+16
+9
+15
+25
+33
+36
+35
+31
+27
+-4
+2
+11
+20
+26
+27
+25
+23
+-20
+-16
+-10
+-4
+-1
+0
+-1
+-3
+-21
+-20
+-18
+-18
+-19
+-20
+-23
+-24
+-10
+-11
+-13
+-15
+-18
+-20
+-22
+-23
+-7
+-8
+-10
+-12
+-13
+-12
+-12
+-11
+-13
+-14
+-15
+-15
+-13
+-9
+-6
+-3
+17
+17
+17
+17
+17
+17
+17
+17
+25
+25
+25
+25
+25
+25
+25
+25
+19
+19
+19
+19
+19
+19
+19
+19
+-6
+-6
+-6
+-6
+-6
+-6
+-6
+-6
+-25
+-25
+-25
+-25
+-25
+-25
+-25
+-25
+-23
+-23
+-23
+-23
+-23
+-23
+-23
+-23
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-9
+-9
+-9
+-9
+-9
+-9
+-9
+-9
+8
+8
+8
+8
+8
+8
+8
+8
+12
+12
+12
+12
+12
+12
+12
+12
+4
+4
+4
+4
+4
+4
+4
+4
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-27
+-27
+-27
+-27
+-27
+-27
+-27
+-27
+-21
+-21
+-21
+-21
+-21
+-21
+-21
+-21
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-12
+-12
+-12
+-12
+-12
+-12
+-12
+-12
+21
+21
+21
+21
+21
+21
+21
+21
+26
+26
+26
+26
+26
+26
+26
+26
+14
+14
+14
+14
+14
+14
+14
+14
+-15
+-15
+-15
+-15
+-15
+-15
+-15
+-15
+-33
+-33
+-33
+-33
+-33
+-33
+-33
+-33
+-28
+-28
+-28
+-28
+-28
+-28
+-28
+-28
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+19
+20
+22
+23
+25
+27
+28
+29
+30
+30
+32
+33
+35
+36
+38
+38
+16
+16
+17
+18
+19
+20
+21
+21
+-22
+-22
+-22
+-22
+-21
+-21
+-21
+-20
+-40
+-40
+-41
+-41
+-42
+-42
+-42
+-42
+-24
+-25
+-26
+-27
+-28
+-29
+-30
+-30
+-6
+-7
+-8
+-10
+-11
+-13
+-14
+-14
+-4
+-4
+-6
+-8
+-10
+-11
+-13
+-13
+11
+11
+11
+11
+11
+11
+11
+11
+23
+23
+23
+23
+23
+23
+23
+23
+10
+10
+10
+10
+10
+10
+10
+10
+-28
+-28
+-28
+-28
+-28
+-28
+-28
+-28
+-48
+-48
+-48
+-48
+-48
+-48
+-48
+-48
+-36
+-36
+-36
+-36
+-36
+-36
+-36
+-36
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+14
+14
+14
+14
+14
+14
+14
+14
+24
+24
+24
+24
+24
+24
+24
+24
+9
+9
+9
+9
+9
+9
+9
+9
+-31
+-31
+-31
+-31
+-31
+-31
+-31
+-31
+-51
+-51
+-51
+-51
+-51
+-51
+-51
+-51
+-37
+-37
+-37
+-37
+-37
+-37
+-37
+-37
+-20
+-20
+-20
+-20
+-20
+-20
+-20
+-20
+-19
+-19
+-19
+-19
+-19
+-19
+-19
+-19
+20
+20
+20
+20
+20
+20
+20
+20
+29
+29
+29
+29
+29
+29
+29
+29
+13
+13
+13
+13
+13
+13
+13
+13
+-29
+-29
+-29
+-29
+-29
+-29
+-29
+-29
+-50
+-50
+-50
+-50
+-50
+-50
+-50
+-50
+-37
+-37
+-37
+-37
+-37
+-37
+-37
+-37
+-21
+-21
+-21
+-21
+-21
+-21
+-21
+-21
+-20
+-20
+-20
+-20
+-20
+-20
+-20
+-20
+21
+21
+21
+21
+21
+21
+21
+21
+28
+28
+28
+28
+28
+28
+28
+28
+9
+9
+9
+9
+9
+9
+9
+9
+-34
+-34
+-34
+-34
+-34
+-34
+-34
+-34
+-55
+-55
+-55
+-55
+-55
+-55
+-55
+-55
+-40
+-40
+-40
+-40
+-40
+-40
+-40
+-40
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-19
+-19
+-19
+-19
+-19
+-19
+-19
+-19
+18
+18
+18
+18
+18
+18
+18
+18
+27
+27
+27
+27
+27
+27
+27
+27
+11
+11
+11
+11
+11
+11
+11
+11
+-31
+-31
+-31
+-31
+-31
+-31
+-31
+-31
+-52
+-52
+-52
+-52
+-52
+-52
+-52
+-52
+-39
+-39
+-39
+-39
+-39
+-39
+-39
+-39
+-23
+-23
+-23
+-23
+-23
+-23
+-23
+-23
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+16
+16
+16
+16
+16
+16
+16
+16
+26
+26
+26
+26
+26
+26
+26
+26
+11
+11
+11
+11
+11
+11
+11
+11
+-29
+-29
+-29
+-29
+-29
+-29
+-29
+-29
+-49
+-49
+-49
+-49
+-49
+-49
+-49
+-49
+-35
+-35
+-35
+-35
+-35
+-35
+-35
+-35
+-18
+-18
+-18
+-18
+-18
+-18
+-18
+-18
+-17
+-17
+-17
+-17
+-17
+-17
+-17
+-17
+20
+20
+20
+20
+20
+20
+20
+20
+29
+29
+29
+29
+29
+29
+29
+29
+13
+13
+13
+13
+13
+13
+13
+13
+-29
+-29
+-29
+-29
+-29
+-29
+-29
+-29
+-50
+-50
+-50
+-50
+-50
+-50
+-50
+-50
+-37
+-37
+-37
+-37
+-37
+-37
+-37
+-37
+-21
+-21
+-21
+-21
+-21
+-21
+-21
+-21
+-20
+-20
+-20
+-20
+-20
+-20
+-20
+-20
+25
+25
+25
+25
+25
+25
+25
+25
+34
+34
+34
+34
+34
+34
+34
+34
+16
+16
+16
+16
+16
+16
+16
+16
+-26
+-26
+-26
+-26
+-26
+-26
+-26
+-26
+-49
+-49
+-49
+-49
+-49
+-49
+-49
+-49
+-37
+-37
+-37
+-37
+-37
+-37
+-37
+-37
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+32
+31
+28
+25
+22
+19
+17
+15
+40
+39
+37
+34
+32
+29
+27
+25
+23
+22
+20
+18
+15
+13
+11
+10
+-20
+-21
+-22
+-24
+-26
+-27
+-28
+-29
+-44
+-44
+-45
+-46
+-47
+-47
+-48
+-49
+-32
+-33
+-33
+-33
+-33
+-34
+-34
+-34
+-18
+-18
+-18
+-18
+-17
+-17
+-17
+-17
+-17
+-17
+-17
+-16
+-16
+-15
+-15
+-15
+18
+18
+18
+18
+18
+18
+18
+18
+32
+32
+32
+32
+32
+32
+32
+32
+19
+19
+19
+19
+19
+19
+19
+19
+-24
+-24
+-24
+-24
+-24
+-24
+-24
+-24
+-51
+-51
+-51
+-51
+-51
+-51
+-51
+-51
+-40
+-40
+-40
+-40
+-40
+-40
+-40
+-40
+-20
+-20
+-20
+-20
+-20
+-20
+-20
+-20
+-14
+-14
+-14
+-14
+-14
+-14
+-14
+-14
+13
+13
+13
+13
+13
+13
+13
+13
+26
+26
+26
+26
+26
+26
+26
+26
+14
+14
+14
+14
+14
+14
+14
+14
+-23
+-23
+-23
+-23
+-23
+-23
+-23
+-23
+-41
+-41
+-41
+-41
+-41
+-41
+-41
+-41
+-28
+-28
+-28
+-28
+-28
+-28
+-28
+-28
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+17
+17
+17
+17
+17
+17
+17
+17
+29
+29
+29
+29
+29
+29
+29
+29
+16
+16
+16
+16
+16
+16
+16
+16
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-42
+-42
+-42
+-42
+-42
+-42
+-42
+-42
+-30
+-30
+-30
+-30
+-30
+-30
+-30
+-30
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+3
+4
+7
+10
+13
+16
+19
+20
+8
+9
+12
+14
+17
+20
+22
+23
+-2
+-1
+1
+3
+5
+8
+9
+10
+-29
+-29
+-27
+-26
+-24
+-22
+-21
+-20
+-46
+-46
+-45
+-44
+-43
+-42
+-41
+-41
+-38
+-38
+-38
+-38
+-38
+-37
+-37
+-37
+-22
+-22
+-22
+-23
+-23
+-23
+-23
+-23
+-13
+-13
+-14
+-14
+-15
+-15
+-16
+-16
+15
+16
+17
+19
+21
+23
+24
+25
+26
+26
+28
+29
+31
+32
+34
+34
+12
+12
+13
+14
+15
+16
+17
+17
+-26
+-26
+-26
+-26
+-25
+-25
+-25
+-24
+-44
+-45
+-45
+-45
+-46
+-46
+-46
+-46
+-28
+-29
+-30
+-31
+-32
+-33
+-34
+-34
+-10
+-11
+-12
+-14
+-15
+-17
+-18
+-19
+-8
+-9
+-10
+-12
+-14
+-15
+-17
+-18
+26
+26
+26
+26
+26
+26
+26
+26
+38
+38
+38
+38
+38
+38
+38
+38
+24
+24
+24
+24
+24
+24
+24
+24
+-15
+-15
+-15
+-15
+-15
+-15
+-15
+-15
+-37
+-37
+-37
+-37
+-37
+-37
+-37
+-37
+-26
+-26
+-26
+-26
+-26
+-26
+-26
+-26
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+26
+26
+26
+26
+26
+26
+26
+26
+38
+38
+38
+38
+38
+38
+38
+38
+24
+24
+24
+24
+24
+24
+24
+24
+-15
+-15
+-15
+-15
+-15
+-15
+-15
+-15
+-37
+-37
+-37
+-37
+-37
+-37
+-37
+-37
+-26
+-26
+-26
+-26
+-26
+-26
+-26
+-26
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+16
+16
+16
+16
+16
+16
+16
+16
+26
+26
+26
+26
+26
+26
+26
+26
+11
+11
+11
+11
+11
+11
+11
+11
+-29
+-29
+-29
+-29
+-29
+-29
+-29
+-29
+-49
+-49
+-49
+-49
+-49
+-49
+-49
+-49
+-35
+-35
+-35
+-35
+-35
+-35
+-35
+-35
+-18
+-18
+-18
+-18
+-18
+-18
+-18
+-18
+-17
+-17
+-17
+-17
+-17
+-17
+-17
+-17
+17
+17
+17
+17
+17
+17
+17
+17
+29
+29
+29
+29
+29
+29
+29
+29
+16
+16
+16
+16
+16
+16
+16
+16
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-42
+-42
+-42
+-42
+-42
+-42
+-42
+-42
+-30
+-30
+-30
+-30
+-30
+-30
+-30
+-30
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+8
+11
+16
+21
+24
+26
+27
+26
+26
+27
+29
+31
+33
+33
+32
+31
+7
+8
+8
+9
+11
+12
+12
+13
+-25
+-26
+-27
+-28
+-27
+-25
+-22
+-21
+-28
+-32
+-39
+-46
+-50
+-51
+-51
+-50
+-25
+-31
+-41
+-51
+-57
+-59
+-58
+-57
+-30
+-32
+-36
+-36
+-30
+-21
+-10
+-3
+-28
+-25
+-18
+-4
+18
+43
+65
+79
+22
+30
+37
+34
+27
+25
+32
+40
+35
+40
+43
+40
+37
+41
+54
+66
+18
+19
+17
+13
+11
+17
+29
+40
+-20
+-20
+-22
+-25
+-26
+-25
+-21
+-18
+-48
+-47
+-43
+-38
+-34
+-33
+-35
+-38
+-54
+-55
+-53
+-44
+-33
+-25
+-25
+-27
+-13
+-26
+-40
+-43
+-34
+-22
+-16
+-14
+51
+25
+-8
+-27
+-27
+-16
+-6
+-1
+40
+42
+47
+53
+60
+66
+71
+73
+51
+53
+57
+63
+69
+75
+79
+81
+33
+35
+38
+43
+48
+52
+56
+58
+-15
+-13
+-11
+-8
+-4
+-1
+2
+3
+-44
+-43
+-42
+-40
+-38
+-36
+-35
+-34
+-34
+-34
+-34
+-33
+-32
+-32
+-32
+-31
+-14
+-15
+-15
+-15
+-16
+-16
+-17
+-17
+-8
+-8
+-9
+-10
+-11
+-12
+-13
+-13
+67
+85
+108
+119
+108
+76
+37
+11
+85
+99
+118
+126
+116
+88
+55
+33
+65
+74
+85
+89
+80
+60
+37
+21
+6
+9
+13
+12
+6
+-6
+-19
+-28
+-30
+-30
+-31
+-33
+-38
+-43
+-49
+-52
+-21
+-21
+-23
+-25
+-27
+-29
+-31
+-32
+-8
+-8
+-8
+-8
+-9
+-10
+-11
+-12
+-14
+-13
+-11
+-10
+-9
+-10
+-12
+-13
+15
+13
+10
+8
+8
+10
+13
+15
+23
+22
+19
+17
+17
+19
+22
+23
+18
+16
+13
+12
+12
+13
+16
+18
+-6
+-8
+-10
+-12
+-12
+-10
+-8
+-6
+-23
+-25
+-28
+-30
+-30
+-28
+-25
+-23
+-20
+-22
+-24
+-26
+-26
+-24
+-22
+-20
+-9
+-11
+-14
+-16
+-16
+-14
+-11
+-9
+-4
+-6
+-9
+-11
+-11
+-9
+-6
+-4
+18
+18
+18
+18
+18
+18
+18
+18
+34
+34
+34
+34
+34
+34
+34
+34
+27
+27
+27
+27
+27
+27
+27
+27
+-8
+-8
+-8
+-8
+-8
+-8
+-8
+-8
+-28
+-28
+-28
+-28
+-28
+-28
+-28
+-28
+-19
+-19
+-19
+-19
+-19
+-19
+-19
+-19
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-14
+-14
+-14
+-14
+-14
+-14
+-14
+-14
+19
+19
+19
+19
+19
+19
+19
+19
+39
+39
+39
+39
+39
+39
+39
+39
+26
+26
+26
+26
+26
+26
+26
+26
+-20
+-20
+-20
+-20
+-20
+-20
+-20
+-20
+-40
+-40
+-40
+-40
+-40
+-40
+-40
+-40
+-20
+-20
+-20
+-20
+-20
+-20
+-20
+-20
+-6
+-6
+-6
+-6
+-6
+-6
+-6
+-6
+-14
+-14
+-14
+-14
+-14
+-14
+-14
+-14
+38
+43
+53
+65
+79
+91
+101
+106
+56
+60
+68
+79
+90
+101
+109
+113
+37
+40
+45
+53
+60
+68
+73
+76
+-20
+-18
+-15
+-11
+-7
+-3
+0
+2
+-50
+-49
+-48
+-46
+-44
+-42
+-41
+-40
+-33
+-32
+-31
+-30
+-29
+-28
+-27
+-26
+-13
+-12
+-11
+-10
+-8
+-7
+-5
+-5
+-14
+-13
+-12
+-10
+-8
+-6
+-4
+-3
+91
+85
+74
+60
+44
+30
+19
+13
+104
+99
+90
+78
+65
+53
+44
+39
+77
+73
+67
+59
+50
+42
+36
+32
+10
+9
+5
+1
+-4
+-8
+-11
+-13
+-29
+-30
+-31
+-32
+-34
+-36
+-37
+-37
+-19
+-19
+-19
+-19
+-20
+-20
+-20
+-20
+-4
+-4
+-4
+-4
+-4
+-4
+-4
+-4
+-8
+-8
+-8
+-8
+-8
+-9
+-9
+-9
+4
+1
+-2
+-1
+5
+17
+29
+37
+27
+24
+22
+23
+29
+39
+50
+57
+17
+15
+13
+14
+19
+27
+36
+42
+-30
+-31
+-32
+-32
+-28
+-22
+-16
+-12
+-52
+-53
+-53
+-52
+-50
+-47
+-44
+-42
+-29
+-29
+-28
+-28
+-27
+-27
+-26
+-26
+-7
+-6
+-5
+-4
+-5
+-6
+-8
+-9
+-7
+-6
+-5
+-4
+-5
+-8
+-11
+-13
+91
+91
+91
+91
+91
+91
+91
+91
+98
+98
+98
+98
+98
+98
+98
+98
+84
+84
+84
+84
+84
+84
+84
+84
+3
+3
+3
+3
+3
+3
+3
+3
+-42
+-42
+-42
+-42
+-42
+-42
+-42
+-42
+-23
+-23
+-23
+-23
+-23
+-23
+-23
+-23
+-15
+-15
+-15
+-15
+-15
+-15
+-15
+-15
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+90
+72
+45
+18
+0
+-6
+-3
+1
+92
+77
+53
+31
+17
+14
+18
+22
+62
+51
+35
+20
+12
+12
+18
+23
+7
+1
+-8
+-16
+-18
+-15
+-9
+-5
+-27
+-29
+-32
+-34
+-33
+-30
+-26
+-24
+-23
+-22
+-21
+-21
+-20
+-19
+-19
+-19
+-11
+-9
+-7
+-6
+-7
+-10
+-14
+-16
+-12
+-9
+-7
+-6
+-9
+-14
+-20
+-24
+24
+23
+22
+20
+18
+16
+15
+14
+27
+27
+26
+24
+23
+21
+20
+19
+17
+17
+16
+15
+14
+13
+12
+12
+-7
+-7
+-7
+-7
+-8
+-8
+-8
+-9
+-21
+-20
+-20
+-20
+-20
+-19
+-19
+-19
+-17
+-17
+-16
+-15
+-14
+-13
+-12
+-12
+-12
+-11
+-10
+-8
+-7
+-5
+-4
+-3
+-12
+-12
+-10
+-8
+-7
+-5
+-4
+-3
+14
+13
+12
+11
+10
+8
+7
+7
+19
+18
+17
+16
+15
+13
+12
+12
+12
+11
+10
+9
+8
+6
+5
+5
+-8
+-8
+-9
+-10
+-12
+-13
+-14
+-14
+-17
+-17
+-18
+-20
+-21
+-22
+-23
+-24
+-9
+-10
+-11
+-12
+-13
+-15
+-16
+-16
+0
+-1
+-2
+-3
+-4
+-6
+-7
+-7
+1
+0
+-1
+-2
+-3
+-5
+-5
+-6
+17
+18
+18
+17
+14
+9
+4
+1
+27
+28
+29
+28
+25
+20
+15
+12
+23
+24
+25
+25
+22
+18
+14
+11
+-1
+0
+2
+2
+1
+-3
+-7
+-9
+-20
+-18
+-16
+-15
+-16
+-19
+-22
+-25
+-20
+-19
+-16
+-14
+-15
+-17
+-20
+-22
+-14
+-12
+-9
+-7
+-6
+-8
+-11
+-12
+-12
+-9
+-6
+-4
+-3
+-5
+-7
+-9
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-12
+-12
+-12
+-12
+-12
+-12
+-12
+-12
+-13
+-10
+-5
+1
+7
+13
+18
+20
+-2
+0
+5
+10
+16
+22
+26
+28
+-4
+-2
+2
+6
+11
+16
+19
+21
+-23
+-21
+-19
+-16
+-12
+-9
+-6
+-5
+-35
+-34
+-32
+-31
+-29
+-27
+-25
+-25
+-27
+-26
+-26
+-26
+-25
+-24
+-24
+-24
+-12
+-13
+-13
+-13
+-14
+-14
+-15
+-15
+-6
+-6
+-7
+-8
+-9
+-10
+-11
+-11
+23
+24
+28
+32
+37
+41
+45
+46
+28
+30
+33
+37
+42
+46
+49
+50
+18
+19
+22
+25
+29
+33
+36
+37
+-11
+-10
+-8
+-5
+-2
+1
+3
+4
+-32
+-31
+-30
+-28
+-25
+-23
+-21
+-20
+-30
+-30
+-29
+-27
+-25
+-24
+-23
+-22
+-20
+-19
+-19
+-18
+-16
+-15
+-14
+-14
+-14
+-14
+-14
+-13
+-12
+-11
+-10
+-10
+58
+58
+58
+58
+58
+58
+58
+58
+67
+67
+67
+67
+67
+67
+67
+67
+50
+50
+50
+50
+50
+50
+50
+50
+5
+5
+5
+5
+5
+5
+5
+5
+-21
+-21
+-21
+-21
+-21
+-21
+-21
+-21
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-6
+-6
+-6
+-6
+-6
+-6
+-6
+-6
+-9
+-9
+-9
+-9
+-9
+-9
+-9
+-9
+74
+74
+74
+74
+74
+74
+74
+74
+80
+80
+80
+80
+80
+80
+80
+80
+56
+56
+56
+56
+56
+56
+56
+56
+6
+6
+6
+6
+6
+6
+6
+6
+-25
+-25
+-25
+-25
+-25
+-25
+-25
+-25
+-21
+-21
+-21
+-21
+-21
+-21
+-21
+-21
+-12
+-12
+-12
+-12
+-12
+-12
+-12
+-12
+-14
+-14
+-14
+-14
+-14
+-14
+-14
+-14
+66
+66
+66
+66
+66
+66
+66
+66
+74
+74
+74
+74
+74
+74
+74
+74
+56
+56
+56
+56
+56
+56
+56
+56
+10
+10
+10
+10
+10
+10
+10
+10
+-18
+-18
+-18
+-18
+-18
+-18
+-18
+-18
+-14
+-14
+-14
+-14
+-14
+-14
+-14
+-14
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-9
+-9
+-9
+-9
+-9
+-9
+-9
+-9
+61
+63
+65
+67
+67
+65
+63
+61
+74
+76
+79
+81
+81
+79
+76
+74
+59
+61
+63
+65
+65
+63
+61
+59
+11
+13
+16
+18
+18
+16
+13
+11
+-22
+-20
+-17
+-15
+-15
+-17
+-20
+-22
+-19
+-17
+-15
+-13
+-13
+-15
+-17
+-19
+-8
+-6
+-3
+-1
+-1
+-3
+-6
+-8
+-6
+-4
+-2
+0
+0
+-2
+-4
+-6
+23
+22
+20
+17
+13
+10
+8
+7
+39
+37
+35
+33
+30
+27
+25
+24
+31
+30
+28
+26
+23
+21
+20
+19
+-4
+-5
+-6
+-7
+-9
+-11
+-12
+-13
+-24
+-25
+-25
+-26
+-27
+-28
+-29
+-29
+-16
+-17
+-17
+-17
+-17
+-18
+-18
+-18
+-8
+-8
+-8
+-8
+-7
+-7
+-7
+-7
+-12
+-12
+-12
+-11
+-11
+-10
+-10
+-10
+19
+17
+12
+6
+0
+-6
+-11
+-13
+25
+23
+19
+13
+7
+2
+-2
+-5
+18
+16
+13
+8
+3
+-1
+-5
+-7
+-3
+-4
+-7
+-10
+-14
+-17
+-19
+-21
+-16
+-17
+-18
+-20
+-22
+-24
+-25
+-26
+-14
+-14
+-14
+-15
+-16
+-16
+-17
+-17
+-10
+-10
+-10
+-9
+-9
+-8
+-8
+-8
+-13
+-12
+-11
+-10
+-9
+-8
+-8
+-7
+1
+2
+4
+7
+11
+14
+16
+17
+4
+5
+7
+10
+13
+15
+18
+19
+-3
+-2
+0
+2
+4
+7
+8
+9
+-17
+-16
+-15
+-13
+-11
+-10
+-9
+-8
+-19
+-19
+-18
+-17
+-16
+-15
+-14
+-14
+-9
+-9
+-9
+-9
+-8
+-8
+-8
+-8
+-6
+-6
+-6
+-6
+-6
+-6
+-7
+-7
+-10
+-11
+-11
+-11
+-12
+-12
+-13
+-13
+10
+8
+6
+4
+4
+6
+8
+10
+17
+15
+13
+11
+11
+13
+15
+17
+13
+11
+8
+6
+6
+8
+11
+13
+-5
+-6
+-9
+-11
+-11
+-9
+-6
+-5
+-14
+-16
+-18
+-20
+-20
+-18
+-16
+-14
+-8
+-10
+-13
+-15
+-15
+-13
+-10
+-8
+-2
+-4
+-6
+-8
+-8
+-6
+-4
+-2
+-3
+-5
+-7
+-9
+-9
+-7
+-5
+-3
+25
+24
+23
+22
+21
+19
+18
+18
+29
+28
+27
+26
+25
+23
+23
+22
+20
+19
+18
+17
+16
+14
+13
+13
+-2
+-3
+-4
+-5
+-6
+-8
+-8
+-9
+-14
+-15
+-16
+-17
+-18
+-20
+-21
+-21
+-9
+-10
+-11
+-12
+-13
+-14
+-15
+-16
+-2
+-3
+-4
+-5
+-6
+-8
+-8
+-9
+-2
+-3
+-4
+-5
+-6
+-8
+-8
+-9
+62
+65
+71
+78
+82
+85
+85
+85
+54
+57
+63
+69
+74
+76
+76
+76
+18
+21
+27
+32
+36
+38
+38
+38
+-29
+-26
+-21
+-17
+-13
+-12
+-13
+-14
+-45
+-43
+-38
+-34
+-32
+-31
+-32
+-33
+-27
+-25
+-21
+-18
+-16
+-16
+-18
+-19
+-14
+-12
+-8
+-6
+-4
+-5
+-7
+-9
+-19
+-17
+-13
+-11
+-10
+-11
+-13
+-15
+82
+77
+68
+58
+49
+42
+39
+37
+60
+55
+48
+39
+32
+28
+26
+25
+17
+14
+9
+3
+-1
+-3
+-3
+-2
+-23
+-26
+-29
+-32
+-33
+-33
+-31
+-29
+-36
+-38
+-40
+-42
+-41
+-39
+-36
+-34
+-24
+-26
+-28
+-29
+-29
+-27
+-24
+-22
+-13
+-15
+-18
+-21
+-21
+-20
+-17
+-16
+-14
+-16
+-20
+-23
+-24
+-23
+-22
+-20
+76
+83
+95
+105
+110
+110
+106
+102
+60
+66
+77
+86
+90
+90
+86
+83
+24
+29
+37
+44
+48
+47
+44
+41
+-17
+-14
+-9
+-4
+-2
+-3
+-5
+-7
+-35
+-33
+-31
+-29
+-29
+-29
+-31
+-32
+-26
+-26
+-27
+-27
+-28
+-29
+-29
+-29
+-13
+-15
+-18
+-21
+-23
+-23
+-23
+-23
+-11
+-13
+-17
+-21
+-23
+-24
+-24
+-23
+78
+80
+81
+78
+70
+56
+42
+33
+68
+70
+72
+71
+64
+52
+41
+33
+37
+39
+42
+43
+39
+31
+23
+17
+-5
+-3
+0
+1
+0
+-4
+-8
+-11
+-30
+-29
+-27
+-25
+-25
+-26
+-27
+-28
+-27
+-27
+-27
+-27
+-26
+-26
+-25
+-25
+-16
+-17
+-19
+-21
+-21
+-20
+-19
+-18
+-12
+-14
+-17
+-20
+-21
+-20
+-19
+-18
+-7
+-7
+-7
+-7
+-7
+-7
+-7
+-7
+5
+5
+5
+5
+5
+5
+5
+5
+4
+4
+4
+4
+4
+4
+4
+4
+-15
+-15
+-15
+-15
+-15
+-15
+-15
+-15
+-30
+-30
+-30
+-30
+-30
+-30
+-30
+-30
+-26
+-26
+-26
+-26
+-26
+-26
+-26
+-26
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-12
+-12
+-12
+-12
+-12
+-12
+-12
+-12
+-23
+-23
+-23
+-23
+-23
+-23
+-23
+-23
+-12
+-12
+-12
+-12
+-12
+-12
+-12
+-12
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-22
+-28
+-28
+-28
+-28
+-28
+-28
+-28
+-28
+-20
+-20
+-20
+-20
+-20
+-20
+-20
+-20
+-14
+-14
+-14
+-14
+-14
+-14
+-14
+-14
+-15
+-15
+-15
+-15
+-15
+-15
+-15
+-15
+-7
+6
+27
+44
+51
+46
+36
+27
+11
+21
+36
+48
+50
+42
+30
+21
+16
+23
+32
+37
+34
+24
+10
+2
+-1
+3
+8
+10
+6
+-2
+-13
+-19
+-16
+-14
+-11
+-10
+-11
+-14
+-18
+-21
+-13
+-12
+-12
+-11
+-10
+-9
+-9
+-8
+-1
+-3
+-6
+-8
+-8
+-6
+-3
+-1
+5
+2
+-4
+-9
+-11
+-10
+-7
+-5
+23
+26
+33
+42
+52
+60
+68
+71
+6
+12
+24
+39
+56
+71
+83
+89
+-9
+-3
+9
+24
+41
+57
+68
+75
+-14
+-11
+-6
+1
+9
+16
+21
+24
+-14
+-14
+-13
+-12
+-10
+-9
+-8
+-8
+-13
+-12
+-11
+-9
+-7
+-5
+-3
+-3
+-7
+-6
+-4
+-2
+0
+2
+4
+5
+1
+1
+0
+0
+-1
+-2
+-2
+-3
+56
+52
+47
+45
+48
+56
+66
+73
+60
+56
+52
+50
+53
+60
+69
+75
+46
+43
+39
+37
+40
+46
+53
+58
+12
+10
+8
+7
+8
+13
+18
+21
+-14
+-15
+-16
+-16
+-15
+-13
+-11
+-9
+-17
+-17
+-17
+-16
+-16
+-16
+-16
+-16
+-10
+-9
+-8
+-7
+-7
+-8
+-10
+-11
+-7
+-5
+-3
+-2
+-3
+-5
+-7
+-9
+74
+67
+56
+46
+41
+41
+45
+48
+86
+79
+69
+60
+55
+56
+59
+62
+70
+65
+57
+50
+46
+47
+50
+52
+25
+21
+16
+12
+10
+10
+13
+14
+-7
+-8
+-10
+-12
+-13
+-12
+-11
+-10
+-9
+-9
+-8
+-7
+-6
+-6
+-5
+-5
+-9
+-7
+-4
+-1
+0
+1
+1
+1
+-17
+-15
+-11
+-7
+-5
+-4
+-4
+-5
+-26
+-26
+-22
+-13
+-5
+-4
+-11
+-19
+-4
+-11
+-16
+-16
+-12
+-9
+-11
+-14
+3
+-4
+-12
+-15
+-15
+-16
+-22
+-28
+-13
+-17
+-20
+-21
+-21
+-26
+-37
+-47
+-24
+-32
+-39
+-39
+-34
+-30
+-29
+-31
+-22
+-33
+-44
+-43
+-27
+-5
+14
+23
+-28
+-27
+-20
+-1
+27
+52
+69
+76
+-42
+-23
+11
+52
+87
+104
+105
+100
+-17
+-16
+-12
+-9
+-7
+-8
+-11
+-14
+-27
+-22
+-17
+-16
+-17
+-17
+-14
+-10
+-24
+-18
+-13
+-18
+-26
+-27
+-18
+-8
+-44
+-35
+-29
+-33
+-40
+-38
+-24
+-10
+-43
+-37
+-33
+-36
+-43
+-43
+-35
+-27
+41
+36
+27
+15
+0
+-17
+-32
+-41
+98
+87
+76
+70
+64
+45
+16
+-8
+61
+56
+59
+78
+100
+101
+78
+53
+-17
+-14
+-9
+-6
+-6
+-11
+-16
+-21
+-16
+-15
+-14
+-13
+-13
+-13
+-13
+-13
+-14
+-15
+-17
+-18
+-17
+-15
+-13
+-12
+-15
+-14
+-13
+-12
+-14
+-17
+-20
+-23
+-18
+-13
+-7
+-3
+-6
+-14
+-24
+-31
+-22
+-17
+-10
+-4
+-3
+-7
+-12
+-16
+-24
+-24
+-23
+-18
+-9
+3
+14
+21
+-25
+-31
+-36
+-33
+-17
+9
+36
+54
+-15
+-15
+-16
+-16
+-17
+-18
+-19
+-19
+-8
+-8
+-8
+-8
+-7
+-7
+-6
+-6
+-28
+-28
+-26
+-24
+-21
+-19
+-17
+-17
+-33
+-31
+-29
+-25
+-22
+-18
+-15
+-14
+-44
+-43
+-40
+-37
+-33
+-30
+-27
+-26
+-34
+-33
+-32
+-30
+-27
+-25
+-23
+-22
+42
+42
+42
+43
+43
+43
+44
+44
+93
+93
+92
+91
+90
+89
+89
+88
+-20
+-20
+-20
+-22
+-23
+-17
+-7
+1
+-12
+-11
+-11
+-13
+-15
+-13
+-9
+-5
+-6
+-5
+-4
+-4
+-5
+-8
+-12
+-14
+-11
+-11
+-8
+-4
+-2
+-5
+-14
+-21
+-16
+-19
+-18
+-11
+-5
+-6
+-16
+-26
+-7
+-15
+-21
+-18
+-10
+-10
+-20
+-32
+19
+3
+-13
+-18
+-13
+-15
+-27
+-39
+42
+21
+-4
+-15
+-15
+-18
+-32
+-45
+-20
+-18
+-14
+-12
+-11
+-13
+-16
+-18
+-1
+-4
+-10
+-15
+-18
+-18
+-17
+-15
+-13
+-14
+-15
+-16
+-17
+-16
+-15
+-14
+-28
+-25
+-20
+-18
+-20
+-26
+-33
+-38
+-22
+-23
+-26
+-29
+-32
+-35
+-37
+-38
+-33
+-34
+-34
+-28
+-15
+4
+22
+34
+-42
+-30
+-8
+19
+46
+70
+87
+96
+-23
+3
+43
+81
+104
+108
+100
+91
+-11
+-11
+-8
+-3
+0
+-3
+-11
+-18
+-4
+-8
+-11
+-11
+-9
+-7
+-7
+-7
+-16
+-16
+-15
+-15
+-14
+-11
+-9
+-7
+-39
+-32
+-23
+-18
+-18
+-19
+-18
+-17
+-32
+-28
+-26
+-30
+-35
+-34
+-24
+-15
+14
+10
+-3
+-24
+-42
+-42
+-26
+-9
+63
+63
+51
+24
+-10
+-32
+-34
+-27
+86
+98
+101
+78
+33
+-14
+-44
+-56
+-11
+-12
+-12
+-14
+-16
+-18
+-20
+-21
+-12
+-16
+-21
+-25
+-24
+-19
+-12
+-7
+-16
+-19
+-24
+-28
+-29
+-27
+-24
+-22
+-23
+-23
+-24
+-26
+-32
+-39
+-45
+-49
+-28
+-30
+-33
+-35
+-35
+-33
+-30
+-28
+-31
+-34
+-36
+-31
+-17
+4
+26
+39
+-32
+-24
+-7
+14
+38
+60
+77
+87
+-33
+-8
+31
+69
+94
+102
+98
+92
+-13
+-11
+-6
+-1
+2
+-3
+-11
+-19
+-6
+-13
+-19
+-18
+-12
+-6
+-6
+-8
+2
+-9
+-20
+-22
+-17
+-15
+-20
+-26
+-25
+-28
+-28
+-23
+-17
+-19
+-31
+-43
+-47
+-46
+-45
+-42
+-38
+-35
+-34
+-34
+4
+-3
+-21
+-47
+-66
+-63
+-42
+-21
+69
+64
+41
+-3
+-43
+-47
+-13
+22
+81
+91
+85
+50
+11
+8
+48
+90
+-20
+-23
+-21
+-12
+1
+3
+-5
+-15
+-11
+-15
+-15
+-8
+0
+0
+-8
+-18
+-11
+-14
+-14
+-11
+-6
+-6
+-12
+-18
+-28
+-27
+-25
+-21
+-16
+-14
+-13
+-14
+-36
+-34
+-30
+-27
+-24
+-20
+-15
+-11
+-6
+-5
+-6
+-13
+-21
+-24
+-20
+-15
+60
+56
+43
+19
+-8
+-25
+-30
+-28
+115
+107
+84
+47
+5
+-25
+-38
+-40
+-10
+-13
+-18
+-23
+-23
+-18
+-10
+-4
+-15
+-15
+-14
+-10
+-6
+-7
+-13
+-18
+-16
+-21
+-24
+-19
+-10
+-9
+-19
+-29
+-22
+-36
+-49
+-49
+-37
+-28
+-30
+-35
+-46
+-51
+-55
+-49
+-39
+-33
+-36
+-42
+-60
+-43
+-18
+2
+7
+-1
+-16
+-26
+-34
+0
+43
+69
+68
+52
+39
+33
+7
+44
+88
+109
+103
+92
+90
+95
+-13
+-22
+-19
+-2
+6
+-3
+-11
+-11
+-8
+-13
+-14
+-10
+-7
+-7
+-5
+-3
+-10
+-7
+-12
+-24
+-28
+-20
+-11
+-9
+-23
+-14
+-17
+-35
+-45
+-41
+-36
+-38
+-33
+-27
+-26
+-33
+-42
+-49
+-56
+-62
+-20
+-31
+-30
+-16
+-12
+-26
+-38
+-41
+15
+-20
+-25
+12
+35
+23
+15
+26
+45
+-8
+-19
+33
+70
+63
+63
+85
+-12
+-9
+-5
+-2
+-2
+-4
+-6
+-9
+0
+-1
+0
+-1
+-2
+-4
+-6
+-7
+-2
+-4
+-6
+-8
+-9
+-7
+-5
+-4
+-26
+-27
+-27
+-25
+-21
+-14
+-6
+-2
+-46
+-44
+-40
+-33
+-25
+-16
+-8
+-3
+-24
+-21
+-15
+-11
+-8
+-8
+-9
+-10
+41
+42
+43
+39
+27
+9
+-8
+-19
+98
+98
+94
+80
+55
+23
+-8
+-27
+6
+-1
+-7
+-11
+-11
+-10
+-10
+-11
+-2
+-8
+-14
+-14
+-9
+-6
+-7
+-11
+-8
+-15
+-20
+-16
+-7
+-2
+-7
+-14
+-7
+-14
+-20
+-18
+-10
+-7
+-12
+-18
+-2
+-7
+-14
+-18
+-19
+-17
+-15
+-13
+-5
+-3
+-7
+-20
+-31
+-27
+-8
+9
+-16
+-6
+-5
+-22
+-40
+-31
+7
+44
+-27
+-11
+-5
+-24
+-46
+-32
+21
+70
+-4
+-10
+-14
+-11
+-4
+0
+-2
+-6
+-6
+-8
+-9
+-9
+-8
+-9
+-12
+-14
+-12
+-8
+-5
+-7
+-13
+-18
+-20
+-19
+-17
+-11
+-6
+-8
+-15
+-20
+-19
+-15
+-12
+-11
+-11
+-12
+-14
+-13
+-9
+-6
+13
+0
+-13
+-18
+-13
+-5
+-1
+-1
+50
+21
+-11
+-21
+-12
+0
+0
+-6
+78
+38
+-7
+-23
+-12
+1
+-3
+-13
+-10
+-7
+-3
+-7
+-15
+-19
+-17
+-14
+-7
+-3
+-1
+-4
+-8
+-8
+-2
+4
+-20
+-18
+-19
+-23
+-27
+-24
+-15
+-6
+-6
+-7
+-12
+-22
+-31
+-31
+-24
+-16
+-11
+-12
+-19
+-31
+-44
+-50
+-48
+-43
+-30
+-26
+-25
+-30
+-40
+-46
+-46
+-43
+-13
+0
+15
+25
+28
+29
+32
+35
+-11
+9
+36
+59
+73
+82
+89
+93
+-13
+-15
+-16
+-17
+-15
+-13
+-10
+-8
+-2
+-4
+-6
+-8
+-9
+-9
+-8
+-8
+-3
+-3
+-4
+-5
+-5
+-6
+-7
+-7
+-27
+-24
+-20
+-15
+-11
+-9
+-8
+-8
+-46
+-41
+-33
+-24
+-17
+-14
+-13
+-13
+-24
+-20
+-14
+-10
+-10
+-13
+-18
+-22
+41
+40
+37
+28
+13
+-6
+-23
+-34
+99
+94
+81
+61
+33
+1
+-26
+-42
+-4
+-6
+-8
+-10
+-12
+-13
+-13
+-13
+-16
+-11
+-8
+-10
+-14
+-13
+-5
+2
+-20
+-14
+-11
+-15
+-22
+-22
+-14
+-6
+-16
+-21
+-26
+-28
+-28
+-30
+-35
+-39
+-25
+-35
+-41
+-31
+-15
+-13
+-29
+-47
+-38
+-38
+-26
+0
+27
+33
+17
+-3
+-30
+-5
+31
+64
+80
+80
+71
+63
+-9
+35
+89
+118
+116
+105
+103
+106
+-5
+-9
+-8
+19
+78
+122
+111
+74
+-18
+-19
+-6
+42
+111
+146
+112
+57
+-14
+-19
+-6
+47
+115
+137
+88
+24
+-7
+-18
+-14
+21
+65
+73
+31
+-17
+-25
+-31
+-27
+-7
+9
+2
+-24
+-47
+-31
+-37
+-32
+-19
+-17
+-31
+-46
+-51
+27
+-2
+-24
+-25
+-25
+-33
+-35
+-29
+104
+45
+-13
+-33
+-31
+-28
+-20
+-7
+83
+93
+61
+-6
+-36
+-15
+1
+-9
+20
+55
+68
+32
+-14
+-29
+-17
+-6
+-53
+-2
+58
+70
+21
+-34
+-37
+-8
+-86
+-54
+16
+73
+53
+-13
+-39
+-21
+-71
+-78
+-37
+38
+67
+26
+-23
+-39
+-40
+-70
+-65
+-3
+57
+56
+2
+-46
+-25
+-44
+-57
+-28
+33
+63
+22
+-41
+-24
+-23
+-36
+-35
+13
+58
+31
+-32
+-15
+-10
+-8
+-15
+-26
+-33
+-34
+-32
+-12
+-11
+-9
+-14
+-29
+-38
+-28
+-10
+-8
+-12
+-11
+-14
+-32
+-42
+-15
+24
+-6
+-12
+-12
+-16
+-35
+-40
+3
+59
+-8
+-11
+-13
+-21
+-35
+-26
+27
+84
+-13
+-9
+-14
+-29
+-32
+-2
+52
+95
+-20
+-7
+-13
+-36
+-28
+22
+73
+96
+-25
+-5
+-13
+-41
+-26
+38
+85
+93
+-39
+22
+72
+96
+105
+77
+33
+11
+2
+61
+97
+90
+67
+26
+-8
+-14
+42
+93
+106
+68
+18
+-25
+-42
+-28
+60
+91
+80
+29
+-16
+-42
+-42
+-18
+74
+77
+40
+-10
+-31
+-35
+-29
+-11
+89
+72
+16
+-32
+-35
+-27
+-24
+-15
+90
+69
+10
+-37
+-35
+-23
+-20
+-12
+79
+63
+9
+-36
+-34
+-21
+-13
+-1
+1
+6
+7
+4
+8
+34
+76
+110
+-12
+-8
+-8
+-14
+-16
+1
+33
+60
+-19
+-15
+-15
+-23
+-31
+-26
+-9
+7
+-14
+-10
+-8
+-14
+-23
+-26
+-21
+-14
+-11
+-7
+-4
+-5
+-10
+-15
+-15
+-14
+-12
+-10
+-8
+-7
+-8
+-10
+-12
+-14
+-6
+-8
+-9
+-9
+-8
+-9
+-12
+-15
+6
+1
+-5
+-7
+-6
+-7
+-10
+-13
+59
+-8
+-51
+-37
+-12
+-11
+-7
+8
+92
+37
+-19
+-34
+-21
+-10
+-11
+-15
+89
+68
+17
+-34
+-38
+-12
+-12
+-36
+33
+65
+46
+-24
+-51
+-21
+-12
+-40
+-19
+58
+79
+8
+-46
+-32
+-18
+-35
+-30
+59
+101
+42
+-29
+-41
+-30
+-30
+-23
+43
+84
+48
+-17
+-47
+-40
+-27
+-25
+17
+50
+33
+-17
+-50
+-43
+-22
+-17
+47
+90
+105
+92
+26
+-23
+-8
+-38
+27
+70
+83
+70
+3
+-46
+-31
+-52
+12
+54
+67
+52
+-15
+-65
+-51
+-50
+13
+54
+66
+50
+-19
+-70
+-56
+-46
+17
+57
+67
+50
+-20
+-73
+-59
+-44
+19
+57
+66
+47
+-24
+-78
+-65
+-37
+25
+63
+71
+51
+-22
+-76
+-63
+-26
+36
+73
+80
+60
+-13
+-68
+-56
+-13
+-14
+-20
+-18
+-23
+-55
+-65
+-38
+-13
+-13
+-18
+-16
+-21
+-52
+-61
+-32
+-13
+-12
+-16
+-14
+-20
+-49
+-53
+-21
+-16
+-12
+-14
+-12
+-19
+-46
+-45
+-8
+-20
+-13
+-13
+-13
+-21
+-46
+-39
+4
+-26
+-17
+-15
+-15
+-24
+-47
+-34
+14
+-32
+-21
+-18
+-18
+-28
+-49
+-32
+19
+-36
+-24
+-20
+-20
+-30
+-51
+-32
+22
+-20
+52
+114
+110
+74
+44
+23
+6
+20
+73
+105
+75
+21
+-16
+-40
+-56
+52
+84
+87
+38
+-17
+-45
+-56
+-65
+67
+80
+60
+2
+-47
+-59
+-55
+-56
+75
+73
+37
+-30
+-75
+-81
+-74
+-77
+69
+66
+33
+-21
+-51
+-45
+-37
+-41
+60
+65
+50
+21
+17
+39
+51
+45
+60
+71
+66
+52
+60
+87
+99
+90
+-25
+-3
+43
+85
+87
+43
+-12
+-45
+-64
+-63
+-45
+7
+76
+101
+48
+-23
+-57
+-71
+-84
+-54
+35
+99
+60
+-24
+-37
+-46
+-65
+-65
+-25
+19
+11
+-26
+-53
+-61
+-68
+-67
+-59
+-47
+-31
+-19
+-40
+-59
+-66
+-51
+-39
+-39
+-38
+-31
+28
+15
+6
+14
+34
+36
+6
+-30
+82
+93
+91
+85
+104
+115
+71
+6
+-39
+-6
+45
+86
+99
+81
+47
+20
+-44
+-1
+54
+83
+72
+31
+-13
+-41
+-54
+-2
+61
+88
+63
+7
+-47
+-76
+-53
+-7
+50
+80
+63
+11
+-48
+-86
+-43
+-19
+20
+54
+61
+31
+-20
+-60
+-47
+-41
+-18
+20
+56
+67
+51
+30
+-53
+-57
+-51
+-27
+11
+47
+69
+77
+-45
+-57
+-72
+-76
+-62
+-29
+9
+34
+-13
+-3
+31
+69
+74
+61
+75
+106
+-46
+-53
+-38
+3
+44
+66
+77
+84
+-77
+-81
+-79
+-52
+3
+57
+79
+75
+-83
+-69
+-68
+-68
+-31
+35
+75
+80
+-72
+-58
+-64
+-76
+-42
+26
+68
+68
+-39
+-42
+-60
+-67
+-25
+39
+62
+48
+22
+18
+2
+-7
+14
+51
+64
+55
+78
+90
+88
+66
+48
+52
+70
+82
+109
+40
+17
+12
+-1
+-31
+-53
+-22
+48
+-15
+-29
+-22
+-21
+-38
+-51
+-14
+0
+-59
+-65
+-48
+-36
+-43
+-49
+-7
+-3
+-62
+-67
+-48
+-35
+-41
+-46
+-4
+1
+-57
+-62
+-42
+-29
+-34
+-38
+3
+-6
+-63
+-66
+-44
+-27
+-30
+-32
+11
+-4
+-61
+-64
+-43
+-27
+-30
+-33
+10
+12
+-47
+-53
+-36
+-25
+-32
+-38
+3
+32
+75
+101
+68
+10
+-13
+19
+59
+44
+82
+97
+50
+-21
+-55
+-35
+-2
+50
+86
+93
+35
+-44
+-81
+-69
+-46
+43
+83
+90
+32
+-39
+-67
+-54
+-37
+36
+79
+88
+32
+-29
+-45
+-31
+-20
+36
+81
+88
+28
+-31
+-42
+-30
+-26
+39
+85
+88
+25
+-33
+-41
+-31
+-34
+38
+85
+89
+26
+-30
+-34
+-25
+-30
+72
+42
+-20
+-27
+-21
+-29
+20
+72
+72
+53
+-11
+-35
+-42
+-49
+2
+52
+75
+72
+9
+-36
+-59
+-64
+-9
+39
+67
+81
+24
+-32
+-64
+-64
+-3
+44
+37
+59
+13
+-36
+-65
+-63
+1
+51
+-2
+17
+-17
+-45
+-63
+-66
+-4
+50
+-23
+-12
+-39
+-44
+-51
+-62
+-8
+49
+-24
+-21
+-45
+-34
+-35
+-54
+-6
+53
+107
+86
+31
+-11
+-7
+-3
+-15
+-20
+97
+75
+18
+-25
+-19
+-11
+-19
+-22
+86
+61
+1
+-42
+-34
+-21
+-23
+-21
+80
+54
+-8
+-52
+-42
+-24
+-21
+-16
+80
+55
+-7
+-50
+-39
+-21
+-17
+-12
+81
+58
+-1
+-42
+-32
+-17
+-17
+-15
+79
+58
+3
+-36
+-28
+-17
+-22
+-24
+76
+57
+4
+-34
+-26
+-18
+-28
+-32
+-4
+-30
+-42
+-52
+-62
+-29
+36
+77
+-8
+-29
+-43
+-57
+-59
+-12
+52
+82
+-14
+-30
+-46
+-61
+-48
+16
+76
+87
+-22
+-34
+-48
+-56
+-27
+48
+94
+81
+-29
+-42
+-48
+-39
+5
+76
+97
+62
+-34
+-52
+-48
+-13
+42
+94
+85
+31
+-37
+-62
+-46
+15
+77
+104
+67
+0
+-39
+-69
+-45
+32
+97
+108
+53
+-21
+101
+68
+-3
+-40
+-20
+-11
+-13
+3
+85
+67
+10
+-31
+-23
+-14
+-13
+1
+56
+62
+30
+-15
+-25
+-18
+-12
+-3
+20
+47
+44
+4
+-24
+-23
+-13
+-7
+-17
+21
+46
+23
+-17
+-27
+-15
+-10
+-47
+-14
+35
+40
+-5
+-30
+-20
+-11
+-69
+-46
+19
+52
+8
+-32
+-25
+-10
+-81
+-66
+7
+58
+16
+-33
+-28
+-10
+-5
+-11
+-15
+-33
+-41
+7
+73
+102
+-10
+-17
+-22
+-41
+-50
+-5
+61
+88
+-14
+-21
+-28
+-50
+-61
+-18
+46
+72
+-12
+-20
+-28
+-52
+-66
+-24
+38
+64
+-6
+-14
+-23
+-48
+-62
+-21
+40
+66
+-3
+-11
+-20
+-44
+-58
+-16
+46
+72
+-6
+-13
+-21
+-43
+-56
+-13
+50
+76
+-10
+-17
+-24
+-45
+-57
+-13
+51
+78
+107
+43
+-10
+-15
+-6
+-19
+-40
+-50
+93
+30
+-22
+-25
+-15
+-27
+-46
+-56
+76
+14
+-36
+-37
+-25
+-34
+-52
+-60
+67
+5
+-44
+-43
+-28
+-35
+-52
+-59
+67
+6
+-43
+-41
+-25
+-32
+-48
+-55
+72
+11
+-38
+-37
+-23
+-30
+-46
+-54
+75
+14
+-36
+-37
+-23
+-32
+-49
+-57
+76
+14
+-37
+-38
+-26
+-35
+-54
+-62
+-20
+55
+107
+118
+65
+5
+9
+13
+-7
+62
+102
+99
+32
+-39
+-44
+-44
+1
+65
+96
+83
+7
+-70
+-78
+-79
+-3
+60
+90
+80
+9
+-62
+-62
+-60
+-8
+55
+86
+79
+15
+-47
+-39
+-30
+-5
+55
+83
+74
+10
+-49
+-37
+-26
+-1
+57
+82
+70
+5
+-52
+-38
+-26
+0
+58
+82
+70
+7
+-48
+-31
+-17
+69
+91
+35
+-31
+-22
+-14
+-30
+-23
+37
+78
+40
+-26
+-24
+-11
+-18
+-10
+6
+71
+55
+-15
+-31
+-18
+-16
+-11
+-10
+66
+68
+-5
+-40
+-32
+-26
+-26
+-26
+43
+55
+-4
+-43
+-37
+-28
+-31
+-39
+5
+20
+-13
+-39
+-33
+-22
+-25
+-31
+-20
+-13
+-23
+-36
+-33
+-27
+-32
+-10
+-25
+-28
+-28
+-37
+-40
+-41
+-49
+-3
+-46
+-41
+7
+50
+80
+67
+16
+-12
+-43
+-22
+35
+70
+83
+69
+35
+-27
+-38
+5
+73
+95
+80
+65
+56
+-40
+-28
+33
+102
+105
+61
+45
+60
+-40
+-7
+58
+112
+95
+30
+10
+40
+-21
+27
+82
+106
+70
+-4
+-29
+5
+9
+66
+104
+96
+45
+-30
+-60
+-30
+32
+93
+118
+89
+31
+-44
+-76
+-51
+-34
+-19
+-13
+-19
+-12
+7
+9
+-4
+-20
+-19
+-19
+-17
+-6
+7
+6
+-4
+3
+-16
+-26
+-15
+2
+7
+1
+-3
+26
+-9
+-29
+-13
+7
+6
+-2
+-2
+43
+3
+-23
+-12
+5
+3
+-2
+-1
+50
+20
+-8
+-12
+-4
+1
+0
+0
+50
+35
+9
+-13
+-14
+-2
+4
+1
+49
+45
+20
+-14
+-22
+-4
+7
+2
+-13
+-13
+-15
+-23
+-3
+58
+88
+65
+-12
+-12
+-14
+-23
+-4
+57
+87
+64
+-11
+-11
+-13
+-23
+-4
+56
+86
+63
+-9
+-9
+-12
+-22
+-4
+55
+85
+61
+-7
+-8
+-11
+-22
+-5
+54
+83
+59
+-5
+-6
+-10
+-21
+-5
+53
+82
+57
+-4
+-5
+-9
+-21
+-5
+53
+81
+56
+-3
+-4
+-9
+-21
+-5
+52
+80
+55
+64
+33
+-22
+-45
+-22
+-7
+-8
+-1
+63
+31
+-23
+-46
+-24
+-8
+-9
+-2
+61
+30
+-25
+-48
+-25
+-10
+-11
+-4
+58
+27
+-28
+-51
+-28
+-13
+-13
+-6
+56
+24
+-30
+-53
+-31
+-16
+-16
+-9
+53
+22
+-33
+-56
+-33
+-18
+-19
+-12
+51
+20
+-35
+-58
+-35
+-20
+-21
+-14
+50
+19
+-36
+-59
+-36
+-21
+-22
+-15
+-9
+3
+4
+-16
+-33
+-34
+-33
+-37
+-23
+-24
+-30
+-39
+-42
+-38
+-33
+-31
+-11
+-24
+-32
+-29
+-25
+-30
+-35
+-37
+1
+-14
+-20
+-12
+-11
+-26
+-42
+-47
+-20
+-24
+-26
+-25
+-25
+-24
+-16
+-7
+-33
+-12
+-7
+-25
+-32
+-2
+44
+76
+-23
+34
+56
+13
+-22
+6
+61
+94
+-16
+70
+108
+47
+-19
+-15
+21
+39
+-48
+23
+70
+67
+44
+3
+-35
+-48
+-37
+31
+74
+73
+58
+19
+-38
+-71
+-35
+32
+73
+76
+72
+40
+-24
+-71
+-42
+24
+64
+65
+63
+39
+-9
+-42
+-6
+49
+79
+71
+54
+25
+2
+2
+74
+101
+113
+100
+68
+22
+10
+39
+96
+90
+86
+85
+56
+-5
+-21
+20
+49
+22
+9
+27
+11
+-56
+-80
+-38
+-46
+-36
+-31
+-28
+-33
+-51
+-34
+17
+-77
+-61
+-49
+-36
+-34
+-48
+-30
+21
+-71
+-40
+-6
+18
+14
+-25
+-37
+-6
+-62
+-7
+59
+102
+88
+13
+-44
+-45
+-53
+11
+88
+138
+122
+35
+-38
+-50
+11
+55
+103
+130
+103
+23
+-34
+-34
+55
+76
+92
+91
+58
+-8
+-41
+-23
+25
+40
+47
+44
+18
+-32
+-48
+-18
+74
+78
+51
+0
+-19
+2
+17
+12
+61
+72
+48
+-11
+-52
+-53
+-45
+-49
+43
+64
+51
+-9
+-65
+-79
+-68
+-60
+30
+60
+63
+11
+-52
+-78
+-68
+-54
+9
+48
+73
+46
+-11
+-54
+-69
+-68
+-32
+11
+58
+73
+50
+14
+-14
+-26
+-52
+-30
+8
+45
+65
+65
+57
+52
+-40
+-49
+-43
+-13
+26
+55
+72
+81
+-15
+5
+34
+71
+110
+113
+57
+-11
+-72
+-58
+-21
+41
+98
+103
+46
+-19
+-84
+-75
+-31
+45
+100
+85
+17
+-43
+-74
+-56
+1
+75
+101
+56
+-16
+-62
+-64
+-28
+38
+90
+80
+20
+-36
+-58
+2
+39
+81
+87
+44
+-13
+-45
+-49
+69
+87
+87
+45
+-8
+-38
+-40
+-33
+68
+72
+43
+-17
+-58
+-53
+-28
+-12
+-77
+-65
+-52
+-50
+-54
+-56
+-50
+-43
+-35
+-40
+-32
+-4
+24
+23
+-10
+-44
+-41
+-40
+-14
+43
+95
+91
+30
+-31
+-67
+-48
+0
+65
+108
+91
+24
+-38
+-53
+-39
+0
+56
+95
+79
+14
+-45
+-32
+-41
+-29
+22
+79
+91
+50
+3
+-23
+-39
+-44
+-17
+29
+62
+66
+57
+-8
+-15
+-27
+-38
+-35
+-10
+30
+60
+-2
+21
+37
+40
+54
+77
+77
+59
+-73
+-55
+-44
+-37
+-5
+42
+67
+65
+-76
+-68
+-72
+-73
+-36
+26
+66
+73
+-60
+-57
+-65
+-67
+-29
+34
+72
+76
+-77
+-67
+-61
+-47
+-1
+58
+83
+77
+-31
+-14
+0
+18
+56
+93
+91
+64
+46
+59
+67
+71
+88
+94
+61
+14
+55
+66
+67
+64
+67
+59
+10
+-46
+-3
+-56
+-58
+-39
+-25
+-29
+-35
+2
+-3
+-55
+-59
+-45
+-34
+-38
+-47
+-13
+-10
+-57
+-59
+-46
+-31
+-29
+-36
+-4
+-14
+-57
+-59
+-51
+-38
+-32
+-40
+-13
+-17
+-55
+-58
+-56
+-43
+-33
+-40
+-19
+-35
+-62
+-53
+-40
+-9
+21
+26
+49
+-50
+-68
+-48
+-25
+20
+68
+83
+109
+-46
+-64
+-48
+-30
+10
+56
+68
+90
+38
+79
+78
+15
+-33
+-28
+-13
+-16
+34
+72
+70
+9
+-38
+-35
+-21
+-24
+38
+71
+69
+14
+-30
+-28
+-14
+-15
+41
+64
+56
+3
+-42
+-48
+-40
+-41
+36
+52
+42
+-3
+-44
+-52
+-45
+-44
+40
+57
+60
+39
+23
+30
+49
+60
+52
+67
+74
+66
+62
+76
+99
+116
+56
+62
+59
+40
+22
+21
+33
+44
+-19
+-26
+-26
+-31
+-49
+-50
+0
+61
+-17
+-24
+-26
+-36
+-59
+-62
+-12
+50
+-11
+-17
+-21
+-31
+-55
+-58
+-8
+55
+-32
+-38
+-38
+-40
+-54
+-51
+-2
+58
+-37
+-46
+-42
+-28
+-25
+-15
+26
+75
+25
+10
+12
+38
+57
+66
+89
+119
+62
+36
+31
+61
+86
+87
+86
+92
+24
+-10
+-22
+7
+33
+26
+6
+-3
+93
+54
+-10
+-38
+-21
+-17
+-35
+-42
+85
+45
+-23
+-56
+-40
+-33
+-43
+-44
+81
+46
+-15
+-49
+-39
+-37
+-47
+-45
+77
+51
+-3
+-38
+-36
+-40
+-52
+-49
+89
+61
+1
+-44
+-49
+-48
+-43
+-25
+111
+79
+10
+-44
+-49
+-31
+0
+39
+83
+61
+5
+-38
+-37
+-13
+27
+72
+15
+11
+-20
+-45
+-37
+-18
+13
+52
+-62
+-60
+-15
+65
+113
+81
+2
+-58
+-58
+-41
+12
+79
+105
+66
+-3
+-49
+-55
+-17
+44
+87
+79
+28
+-25
+-52
+-31
+20
+79
+95
+57
+-2
+-42
+-54
+-5
+43
+87
+82
+32
+-22
+-53
+-63
+55
+86
+106
+91
+55
+20
+-6
+-23
+96
+105
+103
+90
+81
+73
+50
+23
+42
+36
+21
+12
+25
+37
+15
+-20
+-74
+-74
+-36
+34
+55
+-5
+-42
+-15
+-63
+-70
+-54
+8
+58
+31
+-17
+-27
+-53
+-64
+-73
+-30
+48
+64
+5
+-49
+-46
+-46
+-64
+-41
+45
+90
+36
+-38
+-69
+-46
+-49
+-34
+40
+92
+61
+5
+-52
+-13
+6
+24
+75
+110
+102
+85
+-3
+32
+58
+80
+103
+101
+97
+111
+-25
+-2
+21
+41
+41
+8
+-3
+24
+-26
+-27
+-30
+-50
+-56
+-4
+59
+79
+-20
+-25
+-29
+-47
+-51
+-1
+61
+83
+-31
+-39
+-44
+-55
+-56
+-8
+52
+75
+-35
+-48
+-48
+-49
+-44
+0
+56
+79
+-29
+-44
+-38
+-27
+-19
+17
+63
+82
+30
+13
+22
+42
+47
+67
+97
+108
+76
+56
+66
+88
+86
+86
+96
+98
+21
+-1
+9
+29
+20
+7
+4
+-1
+69
+0
+-39
+-34
+-30
+-35
+-45
+-63
+77
+2
+-43
+-38
+-33
+-37
+-50
+-71
+91
+8
+-45
+-43
+-34
+-36
+-52
+-76
+86
+-1
+-59
+-57
+-46
+-43
+-57
+-81
+76
+-8
+-64
+-62
+-48
+-39
+-42
+-59
+85
+9
+-38
+-33
+-18
+-2
+11
+8
+73
+9
+-27
+-19
+-5
+18
+48
+60
+33
+-24
+-52
+-42
+-29
+-1
+39
+61
+-8
+46
+69
+60
+2
+-48
+-28
+-14
+-14
+44
+70
+60
+-2
+-55
+-36
+-21
+-21
+41
+71
+61
+-2
+-57
+-38
+-21
+-14
+45
+70
+54
+-15
+-74
+-60
+-45
+2
+52
+68
+52
+-10
+-65
+-51
+-41
+11
+53
+68
+70
+38
+10
+36
+49
+34
+62
+66
+76
+65
+55
+85
+94
+67
+79
+63
+57
+39
+22
+40
+40
+-27
+-19
+-23
+-23
+-21
+-37
+-39
+-16
+-15
+-6
+-13
+-22
+-27
+-41
+-33
+1
+-17
+-7
+-16
+-32
+-43
+-50
+-23
+28
+-23
+-14
+-24
+-38
+-41
+-33
+9
+71
+-34
+-31
+-43
+-46
+-29
+-7
+37
+95
+16
+4
+-17
+-8
+31
+59
+85
+121
+82
+51
+16
+32
+85
+107
+104
+111
+57
+13
+-33
+-16
+42
+58
+32
+18
+63
+100
+104
+56
+-10
+-67
+-73
+-39
+75
+99
+80
+20
+-36
+-77
+-83
+-60
+104
+108
+61
+-9
+-47
+-60
+-61
+-49
+104
+91
+25
+-48
+-66
+-56
+-50
+-44
+95
+72
+0
+-68
+-82
+-72
+-64
+-52
+117
+93
+34
+-20
+-41
+-50
+-42
+-14
+98
+82
+49
+21
+-3
+-30
+-17
+33
+20
+14
+5
+1
+-21
+-55
+-35
+33
+-4
+54
+51
+4
+-3
+5
+1
+2
+-23
+50
+67
+19
+-8
+-9
+-1
+15
+-56
+33
+76
+35
+-12
+-26
+-10
+15
+-65
+21
+81
+60
+12
+-12
+-8
+3
+-54
+7
+65
+68
+35
+11
+-6
+-24
+14
+38
+77
+91
+76
+61
+35
+-4
+79
+69
+83
+93
+85
+84
+68
+27
+44
+15
+12
+14
+4
+14
+12
+-21
+-12
+5
+6
+-11
+-5
+37
+83
+106
+-7
+1
+1
+-9
+-5
+26
+66
+93
+0
+-4
+-5
+-5
+-4
+8
+40
+70
+4
+-9
+-11
+-3
+-4
+-8
+12
+42
+3
+-11
+-13
+-4
+-6
+-16
+-8
+12
+-4
+-10
+-11
+-7
+-9
+-17
+-18
+-13
+-13
+-7
+-6
+-11
+-12
+-12
+-20
+-32
+-19
+-5
+-3
+-14
+-14
+-8
+-19
+-42
+43
+11
+-38
+-72
+-66
+-33
+-9
+-4
+53
+24
+-23
+-59
+-59
+-35
+-21
+-23
+65
+42
+-3
+-46
+-60
+-44
+-27
+-22
+65
+59
+26
+-26
+-61
+-56
+-29
+-9
+45
+67
+65
+17
+-37
+-57
+-42
+-24
+10
+52
+80
+58
+9
+-27
+-42
+-45
+-25
+10
+44
+54
+46
+29
+3
+-20
+-46
+-30
+-6
+24
+58
+76
+59
+31
+3
+-11
+-24
+-24
+-14
+-12
+-23
+-37
+-13
+-13
+-13
+-14
+-17
+-26
+-38
+-47
+-33
+-17
+-4
+-7
+-23
+-35
+-34
+-28
+-27
+-11
+-2
+-16
+-40
+-44
+-19
+8
+-26
+-19
+-21
+-39
+-54
+-38
+10
+53
+-55
+-51
+-48
+-45
+-29
+11
+66
+108
+-32
+-29
+-19
+2
+33
+65
+91
+105
+48
+45
+50
+67
+83
+83
+64
+44
+6
+91
+102
+26
+-21
+-19
+-21
+-32
+18
+94
+98
+21
+-23
+-19
+-17
+-25
+38
+100
+92
+13
+-27
+-19
+-12
+-15
+59
+105
+83
+5
+-30
+-19
+-8
+-7
+76
+106
+73
+-3
+-32
+-19
+-8
+-5
+85
+103
+63
+-8
+-31
+-20
+-12
+-8
+89
+99
+55
+-11
+-30
+-21
+-17
+-14
+89
+95
+51
+-12
+-28
+-21
+-21
+-19
+-36
+-34
+-29
+-23
+-16
+-10
+-5
+-2
+-32
+-32
+-30
+-28
+-26
+-24
+-23
+-22
+-28
+-29
+-31
+-34
+-37
+-39
+-41
+-42
+-27
+-28
+-31
+-34
+-38
+-41
+-44
+-45
+-27
+-27
+-28
+-29
+-30
+-31
+-32
+-32
+-25
+-24
+-24
+-23
+-22
+-21
+-20
+-20
+-19
+-19
+-19
+-19
+-19
+-19
+-20
+-20
+-15
+-15
+-17
+-19
+-21
+-23
+-24
+-25
+-78
+-85
+-94
+-105
+-112
+-115
+-114
+-113
+-95
+-99
+-105
+-112
+-114
+-114
+-111
+-109
+-112
+-114
+-117
+-118
+-116
+-112
+-108
+-104
+-118
+-119
+-119
+-118
+-116
+-111
+-107
+-104
+-113
+-113
+-114
+-113
+-113
+-111
+-109
+-108
+-107
+-108
+-108
+-109
+-110
+-111
+-112
+-112
+-108
+-108
+-108
+-108
+-110
+-112
+-114
+-115
+-113
+-112
+-111
+-110
+-111
+-112
+-114
+-116
+31
+27
+22
+14
+6
+-1
+-7
+-10
+-13
+-14
+-17
+-20
+-23
+-26
+-28
+-30
+-32
+-32
+-31
+-29
+-28
+-26
+-25
+-25
+-25
+-24
+-22
+-20
+-18
+-16
+-14
+-14
+-21
+-22
+-22
+-22
+-22
+-23
+-23
+-23
+-15
+-16
+-17
+-20
+-22
+-24
+-25
+-26
+-14
+-14
+-15
+-16
+-18
+-19
+-20
+-20
+-27
+-27
+-27
+-26
+-25
+-25
+-24
+-24
+-26
+-32
+-39
+-41
+-32
+-16
+3
+15
+-20
+-26
+-32
+-35
+-32
+-23
+-11
+-3
+-18
+-21
+-25
+-28
+-30
+-29
+-27
+-25
+-24
+-23
+-23
+-24
+-26
+-30
+-33
+-35
+-33
+-30
+-26
+-23
+-23
+-25
+-28
+-31
+-34
+-31
+-28
+-24
+-21
+-21
+-22
+-22
+-25
+-25
+-25
+-24
+-23
+-21
+-19
+-18
+-15
+-18
+-21
+-24
+-25
+-23
+-21
+-19
+48
+68
+56
+-1
+-51
+-59
+-45
+-36
+9
+50
+55
+-2
+-57
+-64
+-47
+-39
+-37
+33
+61
+4
+-59
+-66
+-47
+-40
+-56
+31
+74
+19
+-50
+-60
+-42
+-36
+-44
+36
+79
+32
+-33
+-48
+-34
+-27
+-24
+29
+59
+28
+-20
+-37
+-27
+-16
+-14
+5
+18
+8
+-18
+-33
+-24
+-7
+-14
+-19
+-16
+-12
+-21
+-33
+-23
+-3
+-8
+-22
+-27
+-21
+-24
+-41
+-58
+-64
+-33
+-40
+-37
+-25
+-27
+-45
+-61
+-66
+-44
+-46
+-38
+-27
+-32
+-51
+-63
+-63
+-28
+-31
+-28
+-26
+-35
+-48
+-44
+-31
+-14
+-18
+-20
+-23
+-30
+-26
+3
+37
+-15
+-16
+-16
+-18
+-21
+-2
+50
+101
+-15
+-11
+-7
+-9
+-15
+3
+59
+115
+-7
+-1
+5
+-1
+-16
+-7
+43
+96
+-65
+-63
+-58
+-50
+-39
+-31
+-25
+-23
+-65
+-60
+-50
+-37
+-26
+-21
+-23
+-27
+-65
+-60
+-47
+-30
+-15
+-10
+-16
+-24
+-33
+-38
+-39
+-29
+-14
+-6
+-7
+-13
+50
+24
+-6
+-21
+-17
+-8
+-5
+-7
+133
+88
+29
+-10
+-19
+-13
+-8
+-9
+149
+96
+27
+-17
+-26
+-17
+-10
+-10
+118
+67
+2
+-35
+-36
+-20
+-10
+-8
+-76
+-86
+-93
+-98
+-105
+-116
+-119
+-116
+-84
+-89
+-92
+-95
+-102
+-113
+-120
+-121
+-87
+-89
+-93
+-100
+-108
+-116
+-123
+-128
+-84
+-86
+-97
+-113
+-117
+-109
+-104
+-104
+-83
+-86
+-102
+-119
+-108
+-70
+-39
+-28
+-88
+-87
+-101
+-115
+-87
+-22
+31
+51
+-90
+-84
+-97
+-111
+-80
+-9
+47
+64
+-88
+-80
+-93
+-112
+-88
+-24
+23
+33
+-75
+-63
+-52
+-48
+-40
+-31
+-26
+-28
+-70
+-59
+-45
+-36
+-30
+-29
+-37
+-46
+-60
+-54
+-41
+-24
+-14
+-19
+-35
+-48
+-30
+-39
+-37
+-19
+-3
+-6
+-19
+-29
+32
+-2
+-26
+-18
+-4
+-5
+-13
+-17
+92
+34
+-15
+-19
+-9
+-13
+-20
+-19
+106
+37
+-21
+-24
+-10
+-13
+-19
+-16
+90
+19
+-35
+-28
+-5
+-4
+-9
+-5
+10
+40
+80
+42
+-50
+-74
+-45
+-43
+-29
+9
+71
+60
+-29
+-72
+-54
+-49
+-57
+-22
+56
+70
+-15
+-74
+-59
+-41
+-52
+-32
+42
+69
+-13
+-77
+-57
+-22
+-39
+-30
+40
+73
+-2
+-69
+-53
+-21
+-34
+-30
+37
+75
+12
+-51
+-48
+-32
+-25
+-30
+21
+52
+4
+-38
+-33
+-29
+-11
+-28
+1
+20
+-18
+-35
+-16
+-12
+-112
+-112
+-112
+-112
+-112
+-112
+-112
+-112
+-117
+-117
+-117
+-117
+-117
+-117
+-117
+-117
+-116
+-116
+-116
+-116
+-116
+-116
+-116
+-116
+-110
+-110
+-110
+-110
+-110
+-110
+-110
+-110
+-109
+-109
+-109
+-109
+-109
+-109
+-109
+-109
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-106
+-106
+-106
+-106
+-106
+-106
+-106
+-106
+-15
+-26
+-37
+-37
+-16
+22
+63
+90
+-17
+-26
+-38
+-46
+-42
+-29
+-12
+0
+-13
+-18
+-27
+-38
+-48
+-56
+-61
+-64
+-1
+-3
+-8
+-15
+-25
+-35
+-44
+-49
+4
+2
+-3
+-9
+-13
+-16
+-17
+-17
+-6
+-10
+-16
+-21
+-24
+-23
+-21
+-19
+-24
+-25
+-26
+-27
+-28
+-28
+-28
+-27
+-37
+-33
+-26
+-20
+-17
+-17
+-20
+-22
+114
+114
+111
+96
+66
+25
+-15
+-39
+29
+32
+32
+25
+6
+-20
+-46
+-63
+-44
+-42
+-39
+-39
+-44
+-52
+-61
+-67
+-50
+-50
+-50
+-50
+-49
+-47
+-45
+-44
+-23
+-27
+-32
+-36
+-37
+-35
+-31
+-28
+-12
+-18
+-25
+-32
+-35
+-35
+-32
+-30
+-19
+-22
+-27
+-30
+-31
+-30
+-28
+-26
+-25
+-25
+-25
+-24
+-21
+-18
+-15
+-12
+-4
+26
+29
+-5
+-28
+-21
+-18
+-29
+-38
+-9
+1
+-22
+-34
+-20
+-11
+-17
+-59
+-33
+-22
+-35
+-40
+-24
+-13
+-17
+-44
+-27
+-22
+-33
+-39
+-30
+-25
+-28
+-25
+-17
+-16
+-24
+-29
+-26
+-24
+-27
+-25
+-23
+-22
+-21
+-20
+-18
+-17
+-16
+-30
+-34
+-34
+-27
+-23
+-23
+-22
+-20
+-29
+-37
+-40
+-34
+-31
+-35
+-38
+-37
+-12
+-12
+-11
+-10
+-9
+-8
+-7
+-6
+-5
+-6
+-7
+-9
+-11
+-13
+-14
+-15
+-1
+-3
+-6
+-10
+-14
+-18
+-21
+-23
+-7
+-8
+-10
+-13
+-16
+-19
+-21
+-22
+-18
+-18
+-18
+-18
+-17
+-17
+-17
+-17
+-24
+-24
+-22
+-21
+-19
+-18
+-16
+-16
+-20
+-20
+-21
+-21
+-22
+-23
+-23
+-24
+-13
+-15
+-17
+-21
+-25
+-28
+-31
+-33
+6
+-3
+-16
+-26
+-26
+-17
+-4
+5
+-24
+-29
+-35
+-38
+-34
+-23
+-12
+-4
+-47
+-47
+-44
+-39
+-32
+-22
+-14
+-8
+-45
+-41
+-35
+-27
+-19
+-14
+-11
+-9
+-34
+-30
+-24
+-19
+-15
+-15
+-17
+-18
+-29
+-27
+-25
+-24
+-24
+-26
+-28
+-30
+-26
+-27
+-28
+-29
+-30
+-29
+-29
+-29
+-23
+-25
+-27
+-29
+-28
+-24
+-20
+-18
+-97
+-106
+-116
+-122
+-115
+-97
+-77
+-63
+-92
+-103
+-118
+-130
+-135
+-131
+-123
+-116
+-96
+-104
+-119
+-134
+-146
+-153
+-156
+-157
+-109
+-113
+-121
+-131
+-141
+-150
+-156
+-159
+-119
+-121
+-126
+-133
+-141
+-149
+-156
+-160
+-121
+-125
+-133
+-143
+-154
+-163
+-170
+-174
+-122
+-128
+-139
+-151
+-161
+-168
+-172
+-173
+-126
+-132
+-143
+-152
+-158
+-160
+-158
+-156
+-32
+-30
+-26
+-21
+-15
+-11
+-6
+-5
+-43
+-40
+-34
+-27
+-19
+-12
+-6
+-3
+-50
+-46
+-40
+-31
+-22
+-13
+-7
+-3
+-41
+-39
+-34
+-28
+-21
+-15
+-11
+-8
+-24
+-23
+-22
+-20
+-19
+-17
+-16
+-15
+-15
+-16
+-16
+-17
+-18
+-19
+-20
+-20
+-22
+-22
+-21
+-21
+-21
+-21
+-20
+-20
+-33
+-32
+-30
+-27
+-24
+-22
+-20
+-19
+-112
+-112
+-111
+-110
+-109
+-108
+-108
+-107
+-115
+-114
+-114
+-113
+-112
+-111
+-110
+-110
+-112
+-112
+-111
+-110
+-109
+-108
+-108
+-107
+-108
+-107
+-107
+-106
+-105
+-104
+-103
+-103
+-111
+-111
+-110
+-109
+-108
+-108
+-107
+-107
+-119
+-118
+-117
+-117
+-116
+-115
+-114
+-114
+-116
+-116
+-115
+-114
+-113
+-112
+-111
+-111
+-107
+-107
+-106
+-105
+-104
+-103
+-102
+-102
+-104
+-104
+-104
+-104
+-104
+-104
+-104
+-104
+-106
+-106
+-106
+-106
+-106
+-106
+-106
+-106
+-104
+-104
+-104
+-104
+-104
+-104
+-104
+-104
+-99
+-99
+-99
+-99
+-99
+-99
+-99
+-99
+-103
+-103
+-103
+-103
+-103
+-103
+-103
+-103
+-110
+-110
+-110
+-110
+-110
+-110
+-110
+-110
+-107
+-107
+-107
+-107
+-107
+-107
+-107
+-107
+-98
+-98
+-98
+-98
+-98
+-98
+-98
+-98
+-110
+-111
+-111
+-112
+-113
+-114
+-115
+-115
+-112
+-113
+-113
+-114
+-115
+-116
+-117
+-117
+-109
+-109
+-110
+-111
+-112
+-113
+-113
+-114
+-104
+-104
+-105
+-105
+-106
+-107
+-108
+-108
+-106
+-106
+-107
+-108
+-109
+-110
+-110
+-111
+-112
+-113
+-113
+-114
+-115
+-116
+-117
+-117
+-109
+-109
+-110
+-111
+-112
+-113
+-113
+-114
+-99
+-100
+-100
+-101
+-102
+-103
+-104
+-104
+-112
+-112
+-112
+-112
+-112
+-112
+-112
+-112
+-117
+-117
+-117
+-117
+-117
+-117
+-117
+-117
+-116
+-116
+-116
+-116
+-116
+-116
+-116
+-116
+-110
+-110
+-110
+-110
+-110
+-110
+-110
+-110
+-109
+-109
+-109
+-109
+-109
+-109
+-109
+-109
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-106
+-106
+-106
+-106
+-106
+-106
+-106
+-106
+-101
+-102
+-102
+-103
+-104
+-105
+-106
+-106
+-105
+-105
+-106
+-107
+-108
+-109
+-109
+-110
+-104
+-104
+-105
+-106
+-107
+-108
+-108
+-109
+-100
+-101
+-101
+-102
+-103
+-104
+-105
+-105
+-103
+-103
+-104
+-105
+-106
+-107
+-107
+-108
+-107
+-108
+-108
+-109
+-110
+-111
+-112
+-112
+-102
+-102
+-103
+-104
+-104
+-105
+-106
+-106
+-91
+-91
+-92
+-92
+-93
+-94
+-95
+-95
+-115
+-115
+-115
+-115
+-115
+-115
+-115
+-115
+-117
+-117
+-117
+-117
+-117
+-117
+-117
+-117
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-108
+-108
+-108
+-108
+-108
+-108
+-108
+-108
+-110
+-110
+-110
+-110
+-110
+-110
+-110
+-110
+-117
+-117
+-117
+-117
+-117
+-117
+-117
+-117
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-104
+-104
+-104
+-104
+-104
+-104
+-104
+-104
+-119
+-119
+-119
+-119
+-119
+-119
+-119
+-119
+-121
+-121
+-121
+-121
+-121
+-121
+-121
+-121
+-117
+-117
+-117
+-117
+-117
+-117
+-117
+-117
+-110
+-110
+-110
+-110
+-110
+-110
+-110
+-110
+-112
+-112
+-112
+-112
+-112
+-112
+-112
+-112
+-117
+-117
+-117
+-117
+-117
+-117
+-117
+-117
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-103
+-103
+-103
+-103
+-103
+-103
+-103
+-103
+32
+2
+-2
+9
+6
+6
+7
+-4
+36
+6
+2
+13
+10
+9
+10
+0
+38
+8
+3
+15
+12
+11
+12
+2
+33
+3
+-1
+10
+7
+7
+8
+-3
+25
+-5
+-9
+2
+-1
+-1
+0
+-11
+21
+-9
+-14
+-2
+-5
+-6
+-5
+-15
+22
+-7
+-12
+0
+-4
+-4
+-3
+-14
+26
+-4
+-8
+3
+0
+0
+1
+-10
+-11
+-19
+-22
+-18
+-25
+-41
+-49
+-46
+-5
+-14
+-16
+-13
+-19
+-35
+-43
+-40
+1
+-8
+-10
+-7
+-14
+-30
+-37
+-34
+1
+-7
+-10
+-6
+-13
+-29
+-37
+-34
+-1
+-10
+-12
+-9
+-16
+-32
+-39
+-36
+-1
+-9
+-11
+-8
+-15
+-31
+-39
+-35
+5
+-3
+-6
+-2
+-9
+-25
+-33
+-30
+11
+2
+0
+3
+-3
+-19
+-27
+-24
+9
+10
+4
+-8
+-9
+-2
+-6
+-17
+38
+43
+38
+27
+25
+30
+26
+15
+42
+50
+50
+40
+36
+38
+32
+21
+27
+41
+46
+38
+30
+29
+22
+11
+24
+44
+56
+49
+38
+32
+24
+14
+17
+42
+60
+54
+40
+31
+21
+11
+9
+39
+61
+56
+40
+27
+17
+7
+16
+47
+72
+68
+50
+36
+25
+16
+-16
+-10
+0
+7
+8
+4
+-4
+-9
+15
+21
+30
+37
+39
+34
+27
+22
+19
+25
+35
+42
+43
+39
+31
+26
+6
+12
+21
+28
+30
+25
+18
+13
+5
+11
+20
+27
+29
+24
+17
+12
+-1
+6
+15
+22
+23
+19
+12
+6
+-7
+-1
+8
+15
+17
+12
+5
+0
+0
+6
+16
+23
+24
+19
+12
+7
+-5
+-11
+-20
+-27
+-29
+-24
+-17
+-12
+8
+2
+-7
+-14
+-15
+-11
+-4
+1
+13
+7
+-2
+-9
+-11
+-6
+1
+6
+3
+-4
+-13
+-20
+-21
+-17
+-9
+-4
+-5
+-12
+-21
+-28
+-29
+-25
+-17
+-12
+-4
+-10
+-19
+-26
+-28
+-23
+-16
+-11
+-5
+-11
+-20
+-27
+-29
+-24
+-17
+-12
+-11
+-17
+-26
+-33
+-35
+-30
+-23
+-18
+-20
+-17
+-12
+-8
+-5
+-4
+-5
+-6
+12
+15
+20
+24
+27
+28
+27
+26
+20
+23
+27
+32
+35
+35
+34
+34
+8
+11
+16
+20
+23
+24
+23
+22
+7
+10
+15
+19
+22
+23
+22
+21
+0
+3
+8
+12
+15
+15
+15
+14
+-9
+-6
+-2
+3
+6
+6
+5
+5
+-4
+-1
+4
+8
+11
+11
+11
+10
+-8
+-10
+-13
+-15
+-15
+-13
+-10
+-8
+24
+22
+19
+17
+17
+19
+22
+24
+31
+29
+27
+25
+25
+27
+29
+31
+20
+18
+15
+13
+13
+15
+18
+20
+19
+17
+14
+12
+12
+14
+17
+19
+11
+9
+7
+5
+5
+7
+9
+11
+2
+0
+-2
+-4
+-4
+-2
+0
+2
+7
+5
+3
+1
+1
+3
+5
+7
+-4
+-5
+-6
+-8
+-10
+-12
+-13
+-14
+28
+27
+26
+24
+23
+21
+20
+20
+35
+34
+34
+32
+31
+30
+30
+29
+23
+23
+22
+22
+22
+21
+21
+21
+21
+21
+22
+22
+22
+23
+23
+23
+13
+14
+15
+16
+17
+18
+18
+19
+4
+4
+5
+7
+9
+10
+11
+12
+9
+10
+11
+13
+14
+16
+18
+18
+-13
+-13
+-14
+-15
+-15
+-16
+-17
+-17
+18
+17
+17
+16
+15
+13
+13
+12
+23
+22
+21
+19
+18
+16
+15
+14
+10
+9
+7
+5
+3
+1
+-1
+-2
+9
+8
+6
+3
+0
+-3
+-5
+-6
+4
+3
+0
+-3
+-7
+-11
+-13
+-15
+-2
+-3
+-6
+-11
+-15
+-19
+-22
+-24
+6
+4
+1
+-4
+-8
+-13
+-16
+-18
+-25
+-25
+-23
+-21
+-19
+-17
+-15
+-14
+18
+19
+21
+23
+26
+28
+30
+31
+26
+27
+30
+33
+36
+38
+41
+42
+2
+4
+6
+10
+14
+17
+20
+21
+-7
+-5
+-2
+2
+7
+11
+14
+16
+-11
+-10
+-6
+-1
+4
+9
+13
+15
+-20
+-17
+-13
+-8
+-2
+3
+7
+9
+-19
+-16
+-12
+-7
+-1
+5
+9
+12
+-10
+-11
+-12
+-15
+-17
+-19
+-20
+-21
+26
+25
+24
+21
+19
+16
+15
+14
+37
+35
+33
+30
+27
+25
+23
+21
+24
+23
+20
+17
+13
+10
+7
+6
+22
+20
+17
+13
+8
+4
+1
+-1
+17
+15
+12
+7
+2
+-3
+-7
+-9
+17
+15
+10
+5
+-1
+-6
+-10
+-12
+30
+27
+23
+18
+12
+6
+2
+-1
+-19
+-19
+-18
+-16
+-15
+-14
+-13
+-12
+22
+23
+24
+25
+26
+28
+29
+29
+27
+28
+29
+30
+31
+33
+34
+34
+0
+1
+2
+3
+5
+6
+7
+7
+-10
+-9
+-8
+-7
+-6
+-4
+-3
+-3
+-13
+-13
+-12
+-10
+-9
+-8
+-7
+-6
+-19
+-19
+-18
+-16
+-15
+-14
+-13
+-12
+-17
+-16
+-15
+-14
+-12
+-11
+-10
+-10
+-17
+-17
+-16
+-15
+-13
+-12
+-11
+-10
+27
+27
+28
+30
+31
+32
+33
+34
+37
+37
+38
+39
+41
+42
+43
+44
+14
+15
+16
+17
+19
+20
+21
+21
+7
+7
+8
+10
+11
+12
+13
+14
+4
+5
+6
+7
+8
+10
+11
+11
+-3
+-2
+-1
+0
+2
+3
+4
+4
+-1
+0
+1
+2
+3
+4
+6
+6
+-46
+-46
+-46
+-46
+-46
+-46
+-46
+-46
+2
+2
+2
+2
+2
+2
+2
+2
+20
+20
+20
+20
+20
+20
+20
+20
+6
+6
+6
+6
+6
+6
+6
+6
+5
+5
+5
+5
+5
+5
+5
+5
+7
+7
+7
+7
+7
+7
+7
+7
+2
+2
+2
+2
+2
+2
+2
+2
+5
+5
+5
+5
+5
+5
+5
+5
+-40
+-40
+-40
+-40
+-40
+-40
+-40
+-40
+7
+7
+7
+7
+7
+7
+7
+7
+20
+20
+20
+20
+20
+20
+20
+20
+3
+3
+3
+3
+3
+3
+3
+3
+1
+1
+1
+1
+1
+1
+1
+1
+3
+3
+3
+3
+3
+3
+3
+3
+1
+1
+1
+1
+1
+1
+1
+1
+4
+4
+4
+4
+4
+4
+4
+4
+-30
+-30
+-30
+-30
+-30
+-30
+-30
+-30
+13
+13
+13
+13
+13
+13
+13
+13
+25
+25
+25
+25
+25
+25
+25
+25
+10
+10
+10
+10
+10
+10
+10
+10
+12
+12
+12
+12
+12
+12
+12
+12
+13
+13
+13
+13
+13
+13
+13
+13
+3
+3
+3
+3
+3
+3
+3
+3
+0
+0
+0
+0
+0
+0
+0
+0
+-35
+-35
+-35
+-35
+-35
+-35
+-35
+-35
+7
+7
+7
+7
+7
+7
+7
+7
+24
+24
+24
+24
+24
+24
+24
+24
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+17
+11
+11
+11
+11
+11
+11
+11
+11
+6
+6
+6
+6
+6
+6
+6
+6
+16
+16
+16
+16
+16
+16
+16
+16
+-19
+-19
+-19
+-19
+-19
+-19
+-19
+-19
+22
+22
+22
+22
+22
+22
+22
+22
+30
+30
+30
+30
+30
+30
+30
+30
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+12
+14
+14
+14
+14
+14
+14
+14
+14
+6
+6
+6
+6
+6
+6
+6
+6
+4
+4
+4
+4
+4
+4
+4
+4
+-9
+-9
+-11
+-12
+-14
+-16
+-17
+-18
+36
+35
+34
+32
+31
+29
+28
+27
+45
+44
+44
+43
+42
+41
+40
+39
+22
+22
+22
+21
+21
+21
+20
+20
+14
+14
+15
+15
+15
+16
+16
+16
+11
+11
+12
+13
+14
+15
+16
+16
+4
+5
+6
+7
+9
+10
+11
+12
+5
+6
+7
+9
+11
+13
+14
+15
+-39
+-39
+-37
+-36
+-34
+-32
+-30
+-30
+-5
+-5
+-3
+-2
+0
+1
+2
+3
+5
+6
+6
+7
+8
+9
+10
+11
+-2
+-2
+-1
+-1
+-1
+0
+0
+0
+2
+2
+1
+1
+1
+0
+0
+0
+-1
+-2
+-2
+-3
+-4
+-5
+-6
+-7
+-7
+-8
+-9
+-10
+-12
+-14
+-15
+-15
+0
+-1
+-2
+-4
+-6
+-8
+-9
+-10
+-31
+-32
+-33
+-35
+-37
+-39
+-40
+-41
+14
+13
+12
+10
+9
+7
+6
+6
+25
+25
+24
+23
+22
+21
+20
+20
+5
+5
+4
+4
+4
+3
+3
+3
+-1
+0
+0
+0
+1
+1
+1
+1
+-1
+-1
+0
+1
+2
+3
+4
+4
+-6
+-6
+-5
+-3
+-1
+0
+1
+2
+-4
+-3
+-2
+0
+2
+4
+5
+6
+-33
+-35
+-38
+-40
+-40
+-39
+-36
+-35
+3
+0
+-4
+-7
+-9
+-9
+-7
+-6
+15
+12
+7
+1
+-3
+-4
+-4
+-4
+9
+5
+-1
+-8
+-13
+-16
+-16
+-16
+12
+8
+2
+-4
+-10
+-12
+-13
+-13
+7
+4
+-1
+-7
+-10
+-12
+-12
+-11
+-1
+-4
+-8
+-11
+-13
+-13
+-11
+-10
+4
+2
+-1
+-3
+-4
+-2
+0
+2
+-38
+-38
+-37
+-35
+-34
+-33
+-32
+-31
+-1
+-1
+0
+1
+3
+4
+5
+6
+10
+10
+11
+12
+14
+15
+16
+17
+-2
+-1
+0
+1
+2
+4
+5
+5
+-4
+-3
+-2
+-1
+0
+2
+3
+3
+-7
+-7
+-6
+-5
+-3
+-2
+-1
+0
+-7
+-7
+-6
+-5
+-3
+-2
+-1
+0
+6
+7
+8
+9
+10
+11
+13
+13
+-38
+-38
+-38
+-38
+-38
+-38
+-38
+-38
+9
+9
+9
+9
+9
+9
+9
+9
+22
+22
+22
+22
+22
+22
+22
+22
+5
+5
+5
+5
+5
+5
+5
+5
+3
+3
+3
+3
+3
+3
+3
+3
+5
+5
+5
+5
+5
+5
+5
+5
+3
+3
+3
+3
+3
+3
+3
+3
+6
+6
+6
+6
+6
+6
+6
+6
+-30
+-30
+-30
+-30
+-30
+-30
+-30
+-30
+12
+12
+12
+12
+12
+12
+12
+12
+22
+22
+22
+22
+22
+22
+22
+22
+7
+7
+7
+7
+7
+7
+7
+7
+10
+10
+10
+10
+10
+10
+10
+10
+14
+14
+14
+14
+14
+14
+14
+14
+8
+8
+8
+8
+8
+8
+8
+8
+7
+7
+7
+7
+7
+7
+7
+7
+-30
+-30
+-30
+-30
+-30
+-30
+-30
+-30
+11
+11
+11
+11
+11
+11
+11
+11
+20
+20
+20
+20
+20
+20
+20
+20
+3
+3
+3
+3
+3
+3
+3
+3
+5
+5
+5
+5
+5
+5
+5
+5
+8
+8
+8
+8
+8
+8
+8
+8
+1
+1
+1
+1
+1
+1
+1
+1
+-1
+-1
+-1
+-1
+-1
+-1
+-1
+-1
+-32
+-32
+-32
+-32
+-32
+-32
+-32
+-32
+9
+9
+9
+9
+9
+9
+9
+9
+18
+18
+18
+18
+18
+18
+18
+18
+1
+1
+1
+1
+1
+1
+1
+1
+3
+3
+3
+3
+3
+3
+3
+3
+6
+6
+6
+6
+6
+6
+6
+6
+-1
+-1
+-1
+-1
+-1
+-1
+-1
+-1
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-37
+-37
+-37
+-37
+-37
+-37
+-37
+-37
+11
+11
+11
+11
+11
+11
+11
+11
+28
+28
+28
+28
+28
+28
+28
+28
+12
+12
+12
+12
+12
+12
+12
+12
+10
+10
+10
+10
+10
+10
+10
+10
+11
+11
+11
+11
+11
+11
+11
+11
+5
+5
+5
+5
+5
+5
+5
+5
+7
+7
+7
+7
+7
+7
+7
+7
+-39
+-39
+-39
+-39
+-39
+-39
+-39
+-39
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+11
+11
+11
+11
+11
+11
+11
+11
+7
+7
+7
+7
+7
+7
+7
+7
+11
+11
+11
+11
+11
+11
+11
+11
+7
+7
+7
+7
+7
+7
+7
+7
+-1
+-1
+-1
+-1
+-1
+-1
+-1
+-1
+5
+5
+5
+5
+5
+5
+5
+5
+-36
+-36
+-36
+-37
+-37
+-38
+-38
+-38
+4
+4
+4
+3
+3
+3
+3
+3
+19
+19
+19
+20
+20
+20
+20
+20
+10
+10
+11
+12
+13
+14
+14
+15
+6
+6
+8
+9
+11
+13
+14
+15
+-3
+-2
+-1
+2
+4
+6
+8
+9
+-10
+-9
+-7
+-4
+-1
+2
+4
+5
+-1
+0
+2
+5
+9
+12
+14
+15
+-37
+-37
+-37
+-37
+-37
+-37
+-37
+-37
+7
+7
+7
+7
+7
+7
+7
+7
+25
+25
+25
+25
+25
+25
+25
+25
+15
+15
+15
+15
+15
+15
+15
+15
+9
+9
+9
+9
+9
+9
+9
+9
+3
+3
+3
+3
+3
+3
+3
+3
+5
+5
+5
+5
+5
+5
+5
+5
+22
+22
+22
+22
+22
+22
+22
+22
+23
+24
+21
+11
+-2
+-12
+-14
+-12
+68
+69
+66
+56
+44
+36
+35
+38
+76
+76
+73
+64
+53
+47
+49
+53
+45
+45
+42
+33
+24
+21
+27
+33
+28
+27
+24
+16
+9
+10
+19
+27
+20
+20
+15
+8
+4
+8
+20
+31
+17
+16
+11
+4
+1
+8
+23
+35
+23
+22
+17
+11
+9
+17
+33
+46
+18
+17
+15
+12
+9
+6
+4
+2
+69
+67
+64
+60
+55
+51
+48
+46
+64
+61
+57
+51
+44
+38
+34
+31
+55
+52
+46
+39
+31
+24
+18
+15
+52
+49
+44
+36
+28
+21
+16
+13
+40
+38
+33
+27
+21
+15
+10
+8
+41
+40
+36
+32
+28
+23
+20
+19
+27
+26
+24
+21
+18
+15
+13
+11
+5
+3
+6
+16
+30
+39
+42
+40
+43
+43
+47
+60
+77
+89
+94
+94
+47
+49
+56
+73
+94
+111
+119
+120
+25
+28
+38
+58
+83
+103
+113
+116
+22
+25
+36
+57
+82
+103
+113
+116
+22
+24
+34
+52
+76
+94
+104
+106
+13
+14
+21
+37
+57
+72
+79
+80
+11
+11
+16
+30
+47
+61
+66
+66
+31
+31
+31
+27
+18
+7
+-5
+-12
+83
+83
+81
+75
+64
+51
+38
+30
+107
+105
+101
+91
+77
+60
+44
+35
+98
+95
+88
+75
+57
+37
+19
+9
+99
+95
+86
+72
+51
+29
+9
+-2
+99
+94
+85
+70
+49
+26
+6
+-6
+90
+86
+77
+62
+42
+19
+-1
+-13
+90
+86
+77
+63
+43
+21
+1
+-10
+-37
+-34
+-29
+-26
+-23
+-23
+-24
+-25
+-5
+-2
+2
+7
+9
+10
+9
+8
+1
+4
+9
+14
+17
+18
+17
+16
+-12
+-9
+-3
+2
+6
+7
+7
+7
+-15
+-12
+-6
+0
+5
+7
+8
+8
+-24
+-20
+-14
+-7
+-2
+1
+2
+2
+-35
+-31
+-24
+-17
+-11
+-8
+-6
+-6
+-30
+-26
+-19
+-12
+-6
+-2
+0
+0
+-24
+-26
+-27
+-27
+-25
+-21
+-17
+-15
+11
+10
+8
+8
+9
+13
+17
+19
+19
+18
+16
+15
+16
+19
+23
+25
+5
+3
+1
+-1
+-1
+2
+5
+7
+-1
+-3
+-6
+-8
+-8
+-7
+-5
+-3
+-8
+-10
+-13
+-16
+-17
+-16
+-15
+-13
+-10
+-13
+-17
+-20
+-22
+-21
+-20
+-19
+2
+-1
+-5
+-9
+-11
+-10
+-9
+-8
+-16
+-18
+-22
+-27
+-33
+-38
+-42
+-44
+35
+32
+28
+22
+16
+10
+5
+3
+53
+50
+45
+38
+31
+24
+19
+17
+36
+33
+28
+21
+14
+7
+2
+-1
+26
+24
+20
+14
+9
+3
+-1
+-3
+14
+13
+10
+8
+5
+2
+0
+-1
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-12
+-11
+-9
+-8
+-5
+-4
+-2
+-1
+-40
+-38
+-34
+-32
+-32
+-35
+-38
+-41
+-3
+-4
+-4
+-6
+-8
+-11
+-14
+-15
+12
+8
+1
+-6
+-12
+-16
+-18
+-18
+9
+3
+-8
+-19
+-29
+-35
+-38
+-39
+15
+10
+0
+-13
+-24
+-34
+-40
+-44
+14
+11
+5
+-4
+-16
+-30
+-42
+-49
+7
+9
+10
+5
+-7
+-25
+-43
+-54
+14
+19
+24
+22
+10
+-10
+-31
+-45
+-30
+-28
+-25
+-23
+-23
+-25
+-27
+-29
+38
+42
+50
+57
+59
+57
+53
+50
+16
+26
+41
+53
+59
+58
+52
+46
+-24
+-10
+10
+28
+38
+37
+30
+24
+-34
+-20
+2
+22
+34
+35
+30
+25
+-47
+-35
+-16
+3
+16
+20
+18
+16
+-43
+-34
+-19
+-4
+8
+15
+18
+19
+-42
+-35
+-24
+-12
+0
+9
+15
+17
+-32
+-32
+-31
+-29
+-27
+-26
+-24
+-24
+53
+54
+55
+57
+60
+62
+64
+64
+55
+57
+59
+62
+66
+69
+71
+72
+32
+34
+38
+42
+47
+51
+55
+56
+27
+29
+34
+40
+46
+52
+56
+58
+12
+15
+21
+28
+35
+43
+48
+51
+15
+18
+24
+32
+41
+49
+55
+59
+15
+19
+25
+34
+43
+52
+58
+62
+-32
+-33
+-33
+-34
+-35
+-36
+-36
+-36
+31
+31
+30
+29
+28
+27
+26
+26
+31
+30
+29
+27
+26
+24
+23
+22
+13
+12
+10
+8
+5
+3
+2
+1
+6
+4
+2
+-1
+-4
+-7
+-9
+-10
+0
+-2
+-4
+-8
+-12
+-15
+-18
+-20
+4
+3
+0
+-4
+-9
+-13
+-16
+-18
+-13
+-15
+-18
+-23
+-27
+-32
+-35
+-37
+-35
+-31
+-26
+-20
+-16
+-15
+-14
+-15
+8
+11
+17
+24
+29
+31
+32
+32
+17
+21
+29
+36
+43
+47
+49
+49
+-2
+3
+12
+21
+30
+36
+39
+41
+-8
+-2
+9
+21
+31
+39
+44
+47
+-15
+-8
+4
+17
+30
+40
+47
+50
+-33
+-25
+-12
+3
+17
+29
+37
+40
+-41
+-33
+-19
+-3
+12
+24
+33
+37
+-3
+-6
+-9
+-13
+-14
+-13
+-12
+-10
+34
+31
+28
+25
+23
+24
+26
+27
+46
+43
+40
+36
+35
+36
+38
+39
+36
+33
+30
+26
+25
+26
+27
+29
+35
+33
+29
+26
+25
+25
+27
+28
+33
+30
+27
+24
+22
+23
+25
+26
+34
+31
+28
+24
+23
+24
+26
+27
+48
+45
+42
+38
+37
+38
+39
+41
+-18
+-16
+-12
+-10
+-8
+-9
+-11
+-13
+19
+21
+24
+27
+27
+26
+23
+22
+32
+33
+36
+37
+37
+34
+31
+29
+25
+26
+27
+27
+25
+22
+18
+15
+29
+30
+30
+29
+25
+20
+15
+12
+34
+34
+33
+31
+26
+19
+13
+9
+41
+41
+39
+36
+30
+22
+15
+11
+59
+59
+57
+52
+46
+38
+30
+26
+-23
+-22
+-19
+-16
+-12
+-9
+-6
+-5
+3
+5
+9
+13
+18
+22
+26
+28
+4
+6
+10
+16
+22
+28
+33
+35
+-11
+-8
+-3
+3
+10
+16
+21
+24
+-7
+-5
+0
+5
+11
+17
+21
+24
+-3
+-1
+2
+5
+9
+13
+16
+18
+2
+2
+3
+5
+6
+8
+9
+9
+16
+16
+16
+16
+16
+15
+15
+15
+2
+-2
+-7
+-11
+-11
+-7
+-1
+2
+34
+30
+23
+18
+17
+19
+23
+26
+41
+36
+27
+20
+16
+16
+19
+21
+28
+22
+12
+3
+-4
+-5
+-4
+-3
+23
+17
+7
+-4
+-11
+-13
+-13
+-11
+11
+5
+-5
+-14
+-21
+-23
+-22
+-20
+-3
+-9
+-17
+-26
+-30
+-31
+-29
+-27
+-1
+-6
+-14
+-21
+-25
+-24
+-22
+-19
+-77
+-77
+-77
+-79
+-82
+-86
+-89
+-92
+-38
+-38
+-38
+-40
+-43
+-47
+-50
+-53
+-24
+-24
+-24
+-26
+-29
+-33
+-36
+-39
+-34
+-34
+-34
+-35
+-38
+-42
+-46
+-48
+-34
+-34
+-34
+-36
+-39
+-42
+-46
+-49
+-38
+-38
+-38
+-39
+-42
+-46
+-50
+-52
+-48
+-48
+-48
+-50
+-53
+-57
+-60
+-63
+-50
+-50
+-50
+-51
+-54
+-58
+-62
+-64
+-84
+-83
+-81
+-80
+-80
+-81
+-82
+-84
+-56
+-55
+-54
+-53
+-52
+-52
+-53
+-54
+-48
+-47
+-46
+-45
+-44
+-43
+-42
+-41
+-53
+-53
+-53
+-51
+-49
+-46
+-43
+-42
+-51
+-52
+-52
+-50
+-47
+-42
+-37
+-34
+-59
+-60
+-60
+-59
+-54
+-47
+-40
+-36
+-70
+-71
+-72
+-71
+-65
+-57
+-49
+-43
+-68
+-69
+-70
+-69
+-63
+-54
+-45
+-39
+-28
+-25
+-21
+-17
+-14
+-13
+-13
+-14
+-7
+-5
+-2
+2
+5
+6
+7
+7
+-14
+-13
+-11
+-8
+-5
+-3
+-1
+0
+-32
+-32
+-32
+-31
+-28
+-24
+-20
+-18
+-31
+-33
+-34
+-34
+-32
+-27
+-21
+-17
+-34
+-37
+-40
+-41
+-38
+-32
+-25
+-20
+-41
+-44
+-49
+-52
+-49
+-41
+-32
+-27
+-36
+-40
+-45
+-48
+-45
+-38
+-28
+-22
+-24
+-23
+-21
+-18
+-15
+-12
+-10
+-8
+9
+11
+14
+18
+23
+27
+30
+32
+7
+9
+14
+20
+26
+32
+37
+39
+-18
+-15
+-10
+-3
+5
+13
+18
+21
+-18
+-15
+-10
+-2
+6
+13
+18
+21
+-9
+-7
+-2
+4
+11
+17
+21
+24
+-7
+-5
+-2
+2
+7
+11
+14
+16
+-2
+-1
+2
+5
+8
+11
+13
+14
+-2
+-1
+1
+0
+-2
+-6
+-10
+-13
+43
+44
+45
+45
+42
+38
+34
+31
+54
+55
+56
+55
+52
+47
+42
+39
+34
+34
+35
+33
+29
+24
+19
+15
+28
+29
+28
+26
+21
+15
+9
+6
+27
+27
+26
+23
+18
+12
+5
+1
+22
+22
+20
+17
+11
+4
+-3
+-7
+24
+24
+22
+19
+13
+5
+-2
+-6
+-10
+-9
+-8
+-6
+-4
+-2
+-1
+0
+28
+28
+30
+31
+33
+34
+36
+36
+39
+39
+40
+41
+42
+43
+44
+44
+24
+24
+24
+25
+25
+26
+26
+26
+14
+14
+14
+14
+13
+13
+13
+12
+0
+0
+-1
+-2
+-3
+-4
+-5
+-6
+-11
+-12
+-13
+-14
+-16
+-17
+-19
+-19
+-4
+-5
+-7
+-8
+-10
+-12
+-13
+-14
+-65
+-63
+-60
+-56
+-52
+-48
+-45
+-43
+-10
+-9
+-6
+-3
+1
+4
+7
+8
+17
+18
+20
+22
+25
+27
+29
+29
+12
+12
+13
+13
+14
+15
+16
+16
+16
+16
+15
+15
+14
+13
+12
+12
+21
+20
+19
+16
+14
+12
+10
+9
+15
+13
+11
+7
+4
+0
+-3
+-4
+10
+9
+6
+2
+-3
+-7
+-10
+-11
+-42
+-42
+-41
+-41
+-40
+-40
+-39
+-39
+14
+14
+15
+16
+17
+18
+19
+20
+39
+39
+41
+43
+46
+48
+50
+51
+24
+25
+28
+32
+36
+39
+42
+44
+14
+16
+20
+25
+31
+36
+40
+43
+6
+9
+14
+21
+28
+35
+40
+43
+-8
+-5
+1
+9
+17
+25
+31
+34
+-15
+-11
+-5
+3
+13
+21
+27
+31
+19
+18
+17
+16
+15
+14
+13
+12
+69
+69
+68
+66
+65
+64
+63
+62
+87
+86
+85
+84
+83
+81
+80
+80
+68
+68
+67
+66
+64
+63
+62
+61
+57
+56
+55
+54
+53
+51
+50
+50
+43
+42
+41
+40
+39
+37
+36
+36
+22
+22
+21
+19
+18
+17
+16
+15
+15
+14
+13
+12
+10
+9
+8
+8
+15
+12
+8
+4
+1
+1
+2
+3
+61
+59
+55
+51
+49
+50
+51
+52
+74
+72
+69
+66
+65
+66
+69
+70
+52
+50
+48
+47
+47
+50
+53
+55
+41
+40
+38
+39
+41
+45
+49
+51
+31
+30
+30
+31
+35
+40
+45
+48
+16
+16
+16
+19
+23
+29
+35
+39
+12
+12
+13
+16
+21
+28
+34
+38
+38
+37
+36
+34
+32
+30
+29
+28
+86
+85
+84
+83
+81
+79
+78
+78
+102
+101
+101
+99
+98
+97
+97
+96
+84
+84
+83
+83
+83
+82
+82
+82
+77
+77
+78
+78
+78
+79
+79
+79
+72
+72
+73
+74
+75
+76
+76
+77
+60
+61
+62
+63
+65
+66
+67
+68
+58
+59
+60
+62
+64
+66
+67
+68
+36
+37
+38
+39
+37
+33
+29
+27
+74
+74
+75
+74
+71
+66
+61
+58
+90
+89
+89
+86
+81
+74
+68
+64
+87
+86
+84
+80
+74
+66
+58
+54
+91
+91
+89
+85
+78
+70
+63
+59
+86
+85
+85
+82
+77
+70
+64
+60
+75
+76
+77
+75
+72
+67
+63
+59
+79
+80
+82
+82
+80
+77
+73
+70
+-6
+-5
+-5
+-6
+-8
+-13
+-17
+-19
+34
+34
+34
+32
+29
+26
+22
+20
+41
+40
+38
+36
+33
+31
+29
+27
+24
+21
+18
+14
+11
+10
+10
+10
+26
+23
+17
+12
+9
+9
+11
+12
+32
+27
+20
+13
+11
+12
+16
+18
+28
+22
+14
+6
+3
+6
+11
+14
+29
+23
+13
+5
+2
+5
+11
+15
+-17
+-15
+-12
+-8
+-3
+1
+4
+6
+24
+26
+30
+36
+42
+47
+52
+54
+33
+36
+41
+48
+56
+64
+69
+72
+15
+19
+25
+34
+43
+52
+58
+62
+15
+19
+25
+34
+43
+52
+58
+62
+17
+20
+25
+33
+41
+48
+54
+56
+8
+10
+14
+20
+26
+31
+36
+38
+6
+7
+11
+15
+19
+24
+27
+29
+-45
+-46
+-47
+-49
+-51
+-53
+-54
+-55
+6
+6
+5
+3
+1
+0
+-1
+-2
+28
+27
+27
+26
+25
+24
+23
+22
+18
+18
+17
+17
+17
+16
+16
+16
+19
+20
+20
+20
+21
+21
+21
+21
+21
+22
+23
+24
+25
+26
+26
+27
+16
+16
+17
+19
+21
+22
+23
+24
+17
+18
+19
+21
+23
+25
+26
+27
+-54
+-57
+-62
+-66
+-66
+-63
+-58
+-55
+-14
+-17
+-22
+-26
+-27
+-24
+-21
+-18
+6
+3
+-1
+-5
+-7
+-7
+-5
+-4
+9
+7
+4
+0
+-3
+-6
+-7
+-8
+22
+21
+18
+14
+10
+4
+0
+-3
+26
+25
+23
+20
+13
+6
+-2
+-6
+24
+24
+23
+19
+11
+2
+-8
+-14
+32
+33
+32
+28
+20
+9
+-1
+-8
+18
+18
+18
+17
+13
+8
+2
+-1
+46
+47
+47
+46
+43
+38
+33
+30
+46
+47
+48
+48
+46
+42
+38
+35
+25
+26
+28
+30
+29
+26
+23
+21
+13
+15
+18
+21
+22
+20
+18
+17
+-4
+-2
+3
+7
+9
+9
+7
+6
+-21
+-18
+-13
+-8
+-5
+-4
+-4
+-5
+-20
+-17
+-11
+-6
+-2
+0
+-1
+-1
+2
+6
+13
+18
+19
+16
+12
+9
+22
+25
+32
+36
+36
+33
+28
+24
+35
+38
+44
+47
+47
+43
+37
+33
+30
+33
+38
+42
+42
+37
+32
+28
+19
+23
+30
+35
+36
+33
+28
+25
+11
+16
+25
+32
+36
+36
+33
+31
+-2
+4
+15
+25
+32
+35
+34
+33
+-16
+-9
+3
+15
+24
+28
+29
+29
+-26
+-26
+-26
+-26
+-26
+-26
+-26
+-26
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+0
+0
+0
+0
+0
+0
+0
+0
+-4
+-4
+-4
+-4
+-4
+-4
+-4
+-4
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+3
+3
+3
+3
+3
+3
+3
+3
+7
+7
+7
+7
+7
+7
+7
+7
+3
+3
+3
+3
+3
+3
+3
+3
+-14
+-15
+-16
+-17
+-19
+-20
+-21
+-22
+-1
+-2
+-1
+-1
+-1
+-1
+-1
+-1
+3
+3
+6
+8
+11
+13
+15
+16
+-7
+-6
+-2
+2
+7
+11
+15
+16
+-13
+-11
+-7
+-2
+4
+9
+13
+15
+-8
+-6
+-3
+2
+6
+11
+14
+16
+-5
+-4
+-2
+2
+5
+8
+11
+12
+-9
+-8
+-6
+-4
+-2
+0
+2
+3
+9
+8
+6
+4
+5
+8
+11
+14
+25
+23
+21
+20
+22
+25
+28
+30
+31
+30
+29
+28
+30
+33
+37
+40
+21
+19
+19
+19
+21
+25
+30
+33
+8
+7
+7
+8
+11
+16
+21
+24
+1
+1
+1
+2
+6
+12
+17
+20
+-9
+-9
+-8
+-6
+-2
+4
+10
+13
+-20
+-20
+-20
+-17
+-13
+-6
+0
+3
+10
+12
+17
+20
+22
+22
+21
+20
+30
+32
+36
+40
+41
+41
+39
+38
+44
+46
+50
+53
+54
+53
+51
+49
+41
+43
+46
+48
+49
+47
+45
+43
+35
+37
+39
+41
+40
+38
+35
+33
+32
+34
+36
+37
+36
+33
+29
+27
+25
+26
+27
+28
+26
+23
+19
+16
+14
+15
+16
+16
+14
+11
+6
+4
+-33
+-37
+-44
+-50
+-53
+-52
+-49
+-46
+-14
+-18
+-24
+-29
+-32
+-32
+-30
+-28
+0
+-3
+-8
+-13
+-15
+-16
+-15
+-14
+-1
+-3
+-5
+-9
+-11
+-13
+-14
+-15
+0
+0
+-1
+-3
+-6
+-9
+-12
+-14
+7
+8
+9
+8
+6
+1
+-3
+-6
+10
+12
+14
+14
+12
+6
+0
+-4
+5
+8
+11
+11
+9
+3
+-4
+-9
+-41
+-42
+-44
+-47
+-50
+-52
+-54
+-56
+-13
+-13
+-14
+-15
+-16
+-18
+-18
+-19
+-11
+-10
+-9
+-8
+-7
+-6
+-5
+-4
+-24
+-23
+-20
+-17
+-14
+-11
+-8
+-7
+-21
+-20
+-17
+-13
+-9
+-5
+-2
+-1
+-20
+-19
+-16
+-13
+-10
+-7
+-4
+-3
+-19
+-18
+-17
+-15
+-13
+-11
+-10
+-9
+-7
+-7
+-6
+-5
+-4
+-3
+-3
+-2
+-40
+-34
+-27
+-27
+-32
+-34
+-31
+-28
+-16
+-10
+-5
+-6
+-10
+-11
+-7
+-2
+2
+6
+8
+6
+2
+4
+11
+18
+-1
+0
+-1
+-5
+-8
+-3
+8
+18
+-9
+-10
+-14
+-20
+-22
+-14
+2
+15
+-8
+-12
+-19
+-27
+-28
+-17
+3
+19
+-7
+-12
+-22
+-31
+-32
+-18
+5
+23
+-10
+-16
+-27
+-37
+-38
+-23
+2
+21
+-35
+-31
+-24
+-18
+-16
+-18
+-22
+-26
+-8
+-3
+3
+9
+10
+8
+4
+0
+16
+20
+27
+31
+32
+30
+25
+22
+21
+25
+31
+35
+35
+32
+26
+23
+22
+26
+31
+34
+34
+30
+24
+20
+31
+34
+39
+42
+41
+36
+30
+25
+38
+41
+46
+48
+46
+41
+34
+30
+39
+42
+46
+48
+46
+40
+33
+29
+-15
+-2
+0
+3
+7
+-5
+-2
+27
+-13
+-1
+-1
+1
+5
+-7
+-3
+25
+-10
+1
+-1
+-1
+2
+-10
+-6
+24
+-5
+3
+-1
+-3
+-2
+-14
+-9
+21
+0
+6
+-1
+-6
+-7
+-19
+-13
+18
+4
+9
+-1
+-9
+-11
+-23
+-16
+16
+8
+11
+-1
+-11
+-14
+-26
+-19
+14
+9
+12
+-1
+-12
+-16
+-28
+-20
+13
+27
+-5
+-12
+-3
+-6
+-4
+-1
+-9
+27
+-4
+-12
+-2
+-5
+-4
+0
+-9
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+30
+-2
+-9
+0
+-3
+-2
+2
+-6
+31
+-1
+-8
+2
+-1
+0
+4
+-5
+32
+1
+-7
+3
+0
+1
+5
+-4
+33
+2
+-5
+4
+1
+2
+6
+-3
+34
+2
+-5
+4
+1
+3
+6
+-2
+9
+30
+55
+65
+57
+41
+30
+25
+6
+27
+53
+66
+60
+45
+32
+26
+0
+21
+49
+65
+65
+51
+36
+27
+-6
+13
+40
+60
+65
+56
+41
+30
+-11
+4
+26
+48
+60
+57
+46
+36
+-13
+-5
+10
+31
+49
+55
+50
+43
+-13
+-12
+-4
+15
+37
+51
+53
+50
+-13
+-16
+-13
+4
+29
+48
+55
+54
+82
+85
+86
+80
+62
+36
+11
+-6
+75
+81
+87
+84
+69
+43
+15
+-3
+63
+74
+87
+91
+79
+53
+24
+4
+49
+63
+83
+94
+87
+64
+35
+15
+36
+52
+76
+91
+90
+71
+46
+28
+25
+41
+65
+83
+87
+75
+56
+42
+18
+33
+55
+73
+80
+75
+64
+55
+15
+28
+48
+66
+76
+75
+68
+62
+-14
+-27
+-41
+-41
+-27
+-11
+-1
+1
+-14
+-27
+-40
+-41
+-28
+-12
+-1
+3
+-14
+-25
+-38
+-41
+-31
+-15
+-1
+6
+-10
+-21
+-35
+-41
+-36
+-21
+-4
+7
+-3
+-13
+-28
+-40
+-41
+-29
+-10
+4
+8
+-2
+-21
+-39
+-47
+-38
+-19
+-2
+18
+7
+-13
+-37
+-52
+-47
+-27
+-9
+24
+13
+-9
+-36
+-55
+-52
+-32
+-13
+-2
+-2
+-8
+-5
+-5
+-25
+-20
+18
+-3
+-3
+-8
+-5
+-5
+-25
+-20
+19
+-4
+-4
+-9
+-5
+-4
+-24
+-18
+20
+-6
+-5
+-10
+-6
+-4
+-23
+-17
+22
+-7
+-7
+-11
+-6
+-4
+-22
+-15
+24
+-9
+-8
+-12
+-6
+-3
+-21
+-14
+26
+-10
+-9
+-13
+-6
+-3
+-20
+-13
+27
+-11
+-10
+-13
+-7
+-3
+-20
+-12
+28
+40
+8
+2
+12
+10
+12
+16
+8
+38
+7
+1
+11
+9
+12
+16
+8
+36
+5
+-1
+10
+9
+12
+17
+8
+33
+2
+-3
+8
+8
+11
+17
+9
+30
+-1
+-6
+7
+7
+11
+17
+10
+27
+-3
+-8
+5
+6
+11
+17
+10
+25
+-5
+-10
+4
+5
+11
+17
+10
+23
+-7
+-11
+3
+5
+11
+17
+11
+-5
+-11
+-14
+-9
+8
+29
+47
+56
+-2
+-9
+-16
+-14
+0
+22
+43
+56
+4
+-5
+-16
+-21
+-11
+11
+37
+54
+9
+0
+-14
+-25
+-21
+0
+29
+50
+13
+6
+-8
+-23
+-25
+-9
+20
+43
+15
+11
+1
+-15
+-24
+-14
+13
+35
+15
+16
+10
+-6
+-19
+-15
+7
+28
+14
+18
+15
+1
+-15
+-14
+4
+24
+-11
+-16
+-14
+1
+25
+45
+53
+53
+-17
+-21
+-18
+-3
+20
+40
+51
+53
+-26
+-28
+-24
+-11
+11
+32
+46
+52
+-33
+-33
+-30
+-18
+0
+21
+39
+49
+-35
+-34
+-31
+-24
+-10
+10
+31
+45
+-31
+-30
+-28
+-26
+-18
+-1
+22
+39
+-25
+-23
+-24
+-26
+-24
+-9
+15
+34
+-20
+-19
+-21
+-26
+-27
+-14
+11
+31
+39
+22
+-2
+-23
+-32
+-28
+-17
+-7
+38
+24
+4
+-15
+-25
+-25
+-19
+-14
+37
+28
+14
+-1
+-13
+-20
+-22
+-22
+35
+31
+23
+12
+0
+-12
+-22
+-27
+34
+33
+30
+22
+11
+-3
+-16
+-24
+34
+34
+32
+27
+17
+5
+-7
+-14
+34
+33
+32
+27
+20
+11
+3
+-2
+33
+33
+30
+27
+21
+15
+10
+6
+-13
+-8
+-17
+-22
+-19
+-24
+-12
+24
+-13
+-8
+-17
+-22
+-19
+-25
+-12
+23
+-12
+-8
+-17
+-22
+-19
+-24
+-12
+24
+-11
+-6
+-15
+-20
+-17
+-23
+-10
+26
+-6
+-1
+-10
+-16
+-13
+-18
+-6
+30
+-1
+4
+-5
+-10
+-7
+-13
+0
+36
+5
+10
+1
+-4
+-1
+-7
+6
+41
+8
+13
+4
+-1
+2
+-4
+9
+45
+36
+-6
+-16
+0
+-4
+-8
+-2
+-1
+36
+-6
+-16
+0
+-4
+-8
+-2
+-1
+36
+-6
+-16
+0
+-4
+-8
+-2
+-1
+36
+-6
+-16
+0
+-4
+-8
+-2
+-1
+36
+-6
+-16
+0
+-4
+-8
+-2
+-1
+36
+-6
+-16
+0
+-4
+-8
+-2
+-1
+36
+-6
+-16
+0
+-4
+-8
+-2
+-1
+36
+-6
+-16
+0
+-4
+-8
+-2
+-1
+22
+16
+14
+14
+5
+-7
+-3
+10
+27
+20
+17
+15
+5
+-9
+-6
+6
+33
+25
+20
+17
+3
+-12
+-11
+0
+36
+27
+21
+16
+0
+-17
+-18
+-7
+34
+25
+18
+12
+-4
+-22
+-24
+-13
+27
+18
+12
+6
+-10
+-27
+-28
+-18
+17
+9
+4
+0
+-14
+-30
+-31
+-20
+11
+3
+-1
+-5
+-18
+-33
+-32
+-20
+64
+75
+76
+57
+38
+26
+13
+0
+60
+74
+77
+61
+44
+32
+19
+6
+54
+70
+78
+68
+53
+43
+29
+15
+43
+64
+79
+74
+64
+55
+41
+26
+30
+55
+77
+80
+74
+66
+52
+36
+16
+45
+73
+82
+81
+75
+59
+42
+4
+36
+69
+83
+85
+80
+64
+47
+-3
+31
+67
+83
+87
+82
+66
+48
+-2
+-6
+-7
+-5
+0
+1
+-4
+-11
+-5
+-8
+-7
+-2
+3
+4
+-3
+-10
+-9
+-10
+-6
+1
+9
+9
+2
+-5
+-10
+-9
+-5
+4
+14
+16
+11
+5
+-4
+-5
+-3
+5
+17
+25
+25
+22
+7
+2
+-1
+4
+18
+33
+41
+44
+20
+10
+0
+2
+18
+39
+56
+64
+28
+15
+1
+1
+17
+42
+64
+77
+28
+24
+20
+19
+17
+8
+-5
+-16
+18
+16
+17
+20
+20
+13
+-1
+-13
+2
+4
+11
+20
+24
+18
+4
+-9
+-15
+-10
+1
+15
+23
+20
+7
+-4
+-27
+-22
+-11
+4
+16
+17
+8
+-1
+-33
+-31
+-24
+-11
+2
+8
+5
+0
+-34
+-36
+-35
+-26
+-13
+-2
+1
+0
+-33
+-38
+-41
+-35
+-22
+-9
+-2
+0
+0
+9
+7
+6
+9
+0
+7
+38
+-3
+7
+6
+6
+10
+0
+7
+38
+-8
+3
+4
+6
+11
+2
+8
+38
+-15
+-2
+1
+6
+13
+3
+8
+38
+-22
+-7
+-1
+6
+14
+5
+9
+39
+-29
+-12
+-3
+6
+16
+7
+10
+39
+-35
+-16
+-5
+7
+17
+8
+11
+39
+-38
+-18
+-6
+7
+18
+9
+11
+39
+36
+-6
+-16
+0
+-4
+-8
+-2
+-1
+36
+-6
+-16
+0
+-4
+-8
+-2
+-1
+36
+-6
+-16
+0
+-4
+-8
+-2
+-1
+36
+-6
+-16
+0
+-4
+-8
+-2
+-1
+36
+-6
+-16
+0
+-4
+-8
+-2
+-1
+36
+-6
+-16
+0
+-4
+-8
+-2
+-1
+36
+-6
+-16
+0
+-4
+-8
+-2
+-1
+36
+-6
+-16
+0
+-4
+-8
+-2
+-1
+106
+104
+90
+60
+23
+-4
+-12
+-10
+105
+106
+99
+74
+38
+8
+-7
+-12
+99
+107
+110
+95
+63
+28
+2
+-10
+83
+98
+114
+113
+89
+52
+18
+-1
+57
+78
+105
+119
+107
+76
+40
+17
+24
+49
+85
+112
+116
+95
+65
+43
+-7
+20
+62
+100
+117
+109
+86
+67
+-26
+2
+47
+90
+115
+115
+98
+83
+-7
+-9
+-9
+-5
+1
+3
+1
+-4
+-12
+-13
+-10
+-4
+3
+6
+3
+-1
+-18
+-18
+-13
+-5
+4
+7
+5
+0
+-21
+-21
+-17
+-9
+0
+3
+1
+-3
+-13
+-16
+-17
+-14
+-8
+-5
+-7
+-10
+8
+0
+-9
+-13
+-13
+-11
+-12
+-14
+36
+23
+5
+-8
+-13
+-14
+-14
+-14
+56
+39
+15
+-3
+-12
+-13
+-13
+-13
+-19
+-5
+-1
+3
+6
+-9
+-9
+17
+-18
+-5
+-1
+2
+5
+-9
+-9
+18
+-16
+-4
+-2
+0
+4
+-10
+-8
+20
+-14
+-3
+-3
+-2
+1
+-11
+-7
+22
+-12
+-2
+-4
+-4
+-1
+-12
+-6
+24
+-10
+-1
+-5
+-6
+-3
+-13
+-5
+27
+-8
+0
+-5
+-8
+-5
+-13
+-4
+28
+-7
+0
+-6
+-9
+-6
+-14
+-4
+29
+38
+-2
+-10
+5
+1
+-7
+-4
+-5
+38
+-2
+-10
+5
+1
+-7
+-4
+-5
+38
+-2
+-10
+5
+1
+-7
+-4
+-5
+38
+-2
+-10
+5
+1
+-7
+-4
+-5
+38
+-2
+-10
+5
+1
+-7
+-4
+-5
+38
+-2
+-10
+5
+1
+-7
+-4
+-5
+38
+-2
+-10
+5
+1
+-7
+-4
+-5
+38
+-2
+-10
+5
+1
+-7
+-4
+-5
+122
+131
+131
+118
+109
+107
+99
+87
+115
+127
+131
+120
+111
+109
+102
+91
+101
+119
+129
+123
+115
+113
+107
+98
+82
+107
+126
+125
+118
+116
+112
+104
+60
+92
+120
+125
+119
+118
+115
+110
+37
+76
+113
+123
+118
+117
+116
+113
+18
+63
+106
+120
+117
+115
+115
+114
+7
+55
+102
+118
+115
+114
+114
+113
+-5
+3
+16
+26
+30
+26
+19
+14
+-6
+-1
+8
+16
+21
+22
+20
+18
+-7
+-6
+-4
+0
+6
+13
+20
+24
+-10
+-13
+-17
+-17
+-11
+2
+16
+25
+-13
+-19
+-28
+-31
+-25
+-11
+7
+18
+-16
+-24
+-34
+-40
+-36
+-23
+-7
+4
+-19
+-26
+-36
+-43
+-41
+-32
+-20
+-11
+-20
+-27
+-37
+-44
+-44
+-37
+-28
+-21
+-1
+-1
+0
+0
+-1
+-1
+-2
+-3
+5
+3
+0
+-3
+-5
+-5
+-5
+-4
+14
+9
+2
+-5
+-9
+-9
+-7
+-4
+21
+15
+5
+-5
+-10
+-10
+-7
+-4
+23
+18
+10
+2
+-3
+-5
+-4
+-2
+19
+18
+16
+13
+9
+5
+2
+1
+13
+17
+22
+25
+23
+17
+9
+4
+8
+15
+25
+32
+32
+24
+14
+6
+-65
+-63
+-60
+-56
+-53
+-51
+-50
+-50
+-63
+-62
+-61
+-59
+-56
+-53
+-50
+-49
+-62
+-62
+-62
+-61
+-58
+-54
+-49
+-47
+-64
+-64
+-63
+-60
+-56
+-50
+-45
+-42
+-65
+-61
+-54
+-45
+-38
+-32
+-29
+-27
+-59
+-48
+-31
+-14
+-3
+2
+1
+0
+-46
+-29
+-2
+24
+40
+43
+38
+33
+-36
+-14
+19
+51
+69
+72
+64
+56
+-44
+-28
+7
+54
+97
+118
+116
+106
+-46
+-37
+-9
+38
+87
+116
+119
+110
+-50
+-50
+-33
+12
+69
+110
+121
+116
+-50
+-60
+-54
+-15
+46
+96
+117
+118
+-46
+-61
+-65
+-35
+21
+75
+105
+114
+-39
+-54
+-63
+-46
+-2
+48
+87
+105
+-30
+-42
+-54
+-48
+-20
+24
+68
+94
+-25
+-34
+-46
+-48
+-29
+10
+56
+87
+-15
+-11
+-20
+-23
+-17
+-18
+-1
+38
+-14
+-9
+-17
+-21
+-15
+-17
+0
+37
+-11
+-6
+-13
+-16
+-10
+-14
+1
+37
+-8
+-1
+-7
+-10
+-5
+-10
+2
+37
+-4
+4
+-1
+-3
+1
+-6
+4
+37
+-1
+8
+5
+4
+7
+-2
+5
+37
+2
+12
+9
+9
+11
+1
+6
+37
+3
+14
+12
+11
+14
+2
+7
+37
+34
+-5
+-12
+5
+2
+-5
+-1
+-2
+34
+-5
+-12
+5
+2
+-5
+-1
+-2
+34
+-5
+-12
+5
+2
+-5
+-1
+-2
+34
+-5
+-12
+5
+2
+-5
+-1
+-2
+34
+-5
+-12
+5
+2
+-5
+-1
+-2
+34
+-5
+-12
+5
+2
+-5
+-1
+-2
+34
+-5
+-12
+5
+2
+-5
+-1
+-2
+34
+-5
+-12
+5
+2
+-5
+-1
+-2
+-64
+-36
+3
+30
+40
+38
+37
+37
+-66
+-40
+-5
+20
+30
+31
+30
+30
+-66
+-46
+-18
+5
+16
+19
+20
+21
+-62
+-49
+-29
+-11
+2
+9
+12
+13
+-52
+-47
+-36
+-21
+-7
+4
+10
+11
+-36
+-38
+-36
+-26
+-9
+5
+13
+15
+-20
+-28
+-33
+-25
+-7
+10
+19
+22
+-11
+-21
+-29
+-24
+-5
+14
+24
+27
+-18
+-1
+25
+43
+46
+32
+12
+-4
+-21
+-7
+14
+31
+37
+29
+15
+5
+-26
+-16
+-1
+13
+21
+23
+20
+17
+-27
+-23
+-16
+-6
+5
+15
+22
+26
+-24
+-24
+-23
+-18
+-8
+5
+18
+26
+-16
+-19
+-22
+-22
+-16
+-4
+8
+16
+-6
+-11
+-17
+-20
+-18
+-11
+-2
+4
+0
+-5
+-12
+-18
+-19
+-15
+-10
+-6
+-24
+-24
+-23
+-23
+-23
+-24
+-25
+-26
+-19
+-20
+-21
+-22
+-22
+-20
+-17
+-16
+-6
+-9
+-14
+-18
+-18
+-14
+-9
+-6
+16
+10
+1
+-7
+-11
+-11
+-7
+-4
+37
+31
+20
+9
+0
+-6
+-7
+-7
+45
+41
+35
+25
+15
+5
+-2
+-7
+37
+39
+41
+39
+32
+21
+10
+2
+27
+33
+41
+46
+43
+32
+20
+11
+-20
+17
+66
+97
+103
+97
+94
+95
+-22
+16
+67
+103
+114
+111
+107
+106
+-34
+3
+57
+99
+119
+121
+118
+115
+-52
+-19
+32
+80
+110
+121
+120
+116
+-62
+-36
+7
+56
+95
+117
+121
+119
+-60
+-46
+-15
+30
+77
+109
+122
+123
+-61
+-58
+-39
+0
+51
+92
+113
+119
+-67
+-70
+-59
+-24
+28
+75
+101
+110
+39
+43
+47
+45
+35
+18
+1
+-11
+34
+38
+43
+43
+35
+19
+3
+-8
+15
+22
+30
+35
+32
+22
+8
+0
+-16
+-6
+9
+22
+27
+25
+19
+13
+-45
+-32
+-10
+11
+25
+31
+31
+29
+-51
+-36
+-13
+12
+30
+39
+41
+40
+-34
+-20
+2
+24
+39
+46
+46
+44
+-14
+-2
+17
+35
+47
+50
+48
+45
+75
+75
+75
+75
+75
+75
+75
+75
+77
+78
+80
+82
+82
+80
+78
+77
+80
+84
+89
+92
+92
+89
+84
+80
+85
+90
+97
+102
+102
+97
+90
+85
+92
+97
+104
+109
+109
+104
+97
+92
+98
+102
+107
+110
+110
+107
+102
+98
+104
+105
+107
+109
+109
+107
+105
+104
+107
+107
+107
+107
+107
+107
+107
+107
+0
+6
+-1
+-6
+-4
+-13
+-4
+30
+-2
+4
+-3
+-7
+-6
+-14
+-6
+28
+-4
+2
+-5
+-10
+-8
+-17
+-8
+25
+-6
+0
+-7
+-12
+-10
+-19
+-10
+23
+-6
+0
+-7
+-12
+-10
+-19
+-10
+23
+-4
+2
+-5
+-10
+-8
+-17
+-8
+25
+-2
+4
+-3
+-7
+-6
+-14
+-6
+28
+0
+6
+-1
+-6
+-4
+-13
+-4
+30
+32
+0
+-7
+3
+0
+1
+5
+-4
+31
+-1
+-8
+1
+-2
+-1
+3
+-5
+29
+-3
+-10
+0
+-3
+-2
+2
+-7
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+30
+-2
+-9
+0
+-3
+-1
+3
+-6
+33
+1
+-6
+4
+0
+2
+6
+-3
+37
+5
+-2
+7
+4
+6
+9
+1
+39
+7
+0
+10
+7
+8
+12
+3
+8
+18
+31
+33
+26
+15
+7
+4
+6
+16
+27
+29
+22
+12
+7
+5
+-2
+7
+17
+21
+17
+12
+11
+12
+-18
+-9
+2
+8
+10
+14
+21
+27
+-33
+-25
+-14
+-5
+3
+14
+29
+40
+-35
+-29
+-22
+-15
+-6
+8
+27
+41
+-24
+-22
+-20
+-20
+-16
+-4
+15
+29
+-12
+-13
+-16
+-21
+-22
+-14
+3
+16
+39
+40
+40
+40
+38
+36
+32
+30
+37
+38
+38
+39
+39
+39
+39
+39
+38
+38
+38
+38
+40
+43
+46
+48
+43
+42
+40
+39
+41
+43
+47
+49
+47
+46
+44
+42
+41
+41
+41
+41
+44
+45
+45
+45
+43
+39
+36
+33
+33
+37
+42
+46
+46
+41
+35
+30
+22
+29
+39
+47
+48
+44
+36
+31
+-8
+5
+25
+41
+46
+39
+27
+18
+-17
+-7
+8
+22
+30
+32
+30
+27
+-25
+-21
+-15
+-6
+5
+17
+27
+32
+-23
+-26
+-30
+-28
+-19
+-3
+13
+24
+-15
+-22
+-31
+-36
+-33
+-21
+-6
+4
+-10
+-16
+-24
+-30
+-31
+-27
+-20
+-16
+-14
+-15
+-16
+-18
+-20
+-23
+-25
+-26
+-21
+-17
+-12
+-9
+-10
+-16
+-23
+-28
+13
+-1
+-19
+-30
+-35
+-44
+-57
+-68
+15
+2
+-13
+-24
+-30
+-38
+-51
+-62
+16
+7
+-4
+-13
+-20
+-28
+-40
+-48
+12
+8
+4
+-2
+-8
+-16
+-24
+-30
+2
+5
+8
+8
+4
+-2
+-6
+-8
+-13
+-3
+9
+14
+13
+11
+12
+14
+-27
+-12
+6
+17
+20
+21
+26
+32
+-37
+-18
+4
+18
+23
+26
+34
+41
+-32
+-27
+-19
+-11
+-5
+-4
+-6
+-7
+-35
+-28
+-16
+-6
+-1
+-1
+-5
+-8
+-43
+-33
+-18
+-6
+0
+-2
+-8
+-14
+-56
+-45
+-29
+-14
+-8
+-10
+-17
+-23
+-62
+-52
+-37
+-23
+-16
+-16
+-21
+-25
+-48
+-41
+-31
+-20
+-12
+-8
+-7
+-8
+-18
+-16
+-11
+-4
+5
+13
+20
+24
+6
+6
+7
+12
+21
+33
+44
+51
+-67
+-61
+-50
+-32
+-4
+34
+72
+98
+-74
+-69
+-58
+-43
+-19
+14
+48
+71
+-74
+-68
+-58
+-45
+-26
+0
+28
+47
+-60
+-53
+-42
+-30
+-14
+6
+28
+43
+-41
+-32
+-18
+-5
+9
+24
+41
+52
+-15
+-4
+11
+23
+33
+43
+54
+61
+20
+30
+45
+55
+60
+63
+67
+71
+48
+59
+71
+79
+80
+79
+79
+80
+25
+27
+25
+13
+-1
+-7
+-1
+7
+24
+23
+18
+6
+-4
+-3
+10
+22
+21
+16
+6
+-4
+-5
+6
+28
+44
+14
+6
+-4
+-9
+-2
+19
+45
+63
+1
+-5
+-10
+-6
+10
+35
+58
+72
+-15
+-15
+-10
+5
+28
+50
+64
+70
+-30
+-24
+-7
+19
+47
+63
+64
+60
+-39
+-28
+-4
+29
+58
+70
+63
+52
+18
+29
+45
+57
+58
+48
+33
+23
+35
+41
+50
+55
+52
+42
+29
+21
+60
+59
+57
+51
+43
+33
+24
+19
+79
+72
+60
+47
+35
+27
+22
+21
+81
+72
+57
+42
+31
+26
+26
+27
+68
+60
+48
+37
+31
+31
+35
+38
+47
+43
+37
+33
+34
+38
+45
+49
+32
+30
+30
+31
+36
+43
+51
+56
+77
+77
+77
+79
+84
+90
+97
+101
+85
+85
+85
+87
+92
+98
+104
+107
+92
+92
+92
+94
+98
+103
+107
+110
+96
+96
+97
+99
+102
+104
+107
+108
+105
+106
+107
+109
+110
+111
+111
+111
+113
+115
+117
+119
+119
+118
+116
+115
+110
+112
+114
+116
+115
+113
+109
+107
+99
+101
+104
+106
+105
+101
+97
+95
+13
+19
+10
+4
+3
+-7
+1
+33
+12
+17
+9
+3
+3
+-7
+1
+33
+10
+15
+7
+2
+2
+-7
+1
+34
+7
+13
+5
+0
+1
+-8
+1
+34
+3
+10
+3
+-2
+1
+-8
+1
+35
+0
+7
+0
+-3
+-1
+-8
+1
+35
+-2
+5
+-1
+-4
+-1
+-8
+2
+36
+-3
+4
+-2
+-5
+-2
+-8
+2
+36
+55
+23
+17
+25
+19
+15
+14
+2
+56
+25
+19
+27
+21
+18
+17
+5
+59
+28
+22
+31
+25
+22
+22
+10
+60
+29
+24
+34
+29
+27
+27
+15
+60
+29
+24
+35
+31
+29
+30
+19
+57
+27
+23
+34
+30
+30
+30
+20
+54
+24
+20
+32
+29
+29
+30
+19
+52
+22
+18
+30
+28
+28
+29
+19
+54
+64
+80
+92
+96
+90
+79
+71
+33
+43
+61
+77
+89
+93
+92
+89
+10
+19
+34
+53
+71
+85
+94
+99
+2
+6
+15
+28
+43
+58
+71
+78
+10
+9
+9
+12
+17
+25
+32
+37
+20
+17
+13
+8
+6
+5
+5
+6
+21
+20
+18
+15
+11
+6
+3
+1
+18
+19
+21
+22
+20
+16
+11
+9
+68
+63
+58
+56
+63
+76
+91
+100
+89
+84
+77
+72
+71
+75
+81
+85
+104
+102
+97
+90
+84
+79
+75
+73
+93
+95
+97
+96
+93
+86
+79
+74
+59
+65
+75
+84
+88
+88
+85
+82
+25
+33
+45
+58
+67
+73
+75
+75
+10
+14
+22
+31
+39
+45
+49
+51
+8
+9
+11
+14
+18
+22
+25
+27
+100
+93
+85
+78
+75
+78
+83
+87
+97
+93
+86
+80
+79
+81
+85
+88
+91
+88
+83
+78
+75
+75
+75
+76
+87
+85
+82
+76
+70
+64
+59
+56
+94
+94
+92
+87
+79
+67
+57
+50
+96
+99
+102
+102
+96
+84
+71
+63
+74
+82
+93
+101
+102
+94
+83
+76
+47
+58
+75
+89
+96
+93
+85
+79
+35
+32
+26
+19
+12
+4
+-1
+-4
+56
+52
+44
+33
+22
+12
+4
+-1
+82
+76
+66
+53
+39
+26
+16
+11
+91
+86
+77
+66
+54
+43
+34
+30
+79
+77
+72
+66
+60
+54
+49
+47
+58
+57
+56
+55
+53
+52
+51
+50
+41
+41
+40
+40
+40
+39
+39
+39
+33
+32
+31
+30
+29
+28
+26
+26
+16
+12
+8
+5
+6
+11
+17
+21
+10
+8
+6
+4
+4
+5
+7
+8
+5
+6
+7
+6
+4
+0
+-4
+-6
+8
+10
+13
+13
+10
+2
+-6
+-11
+16
+18
+21
+21
+17
+10
+2
+-3
+22
+23
+24
+23
+21
+17
+13
+10
+24
+22
+20
+18
+17
+18
+21
+22
+22
+19
+14
+11
+12
+17
+23
+28
+10
+19
+32
+43
+48
+44
+37
+31
+13
+19
+29
+38
+44
+44
+41
+38
+18
+20
+25
+31
+37
+42
+46
+47
+22
+21
+21
+23
+29
+37
+46
+52
+24
+21
+18
+17
+22
+30
+40
+46
+24
+21
+17
+15
+16
+21
+27
+32
+22
+20
+17
+14
+13
+13
+14
+15
+20
+19
+18
+15
+11
+8
+5
+3
+-25
+-9
+19
+49
+76
+94
+104
+108
+-17
+-10
+3
+22
+44
+65
+82
+91
+-9
+-12
+-14
+-9
+5
+26
+48
+61
+-7
+-13
+-20
+-23
+-17
+-3
+14
+25
+-10
+-13
+-16
+-18
+-17
+-14
+-9
+-5
+-14
+-13
+-12
+-12
+-13
+-15
+-18
+-20
+-14
+-14
+-14
+-14
+-16
+-17
+-19
+-20
+-13
+-16
+-19
+-21
+-22
+-20
+-17
+-15
+91
+80
+64
+48
+39
+38
+43
+46
+80
+72
+60
+47
+39
+35
+36
+37
+58
+55
+49
+41
+33
+26
+21
+18
+29
+30
+29
+25
+18
+8
+-1
+-7
+3
+5
+7
+6
+-1
+-11
+-22
+-29
+-10
+-9
+-8
+-10
+-16
+-25
+-34
+-40
+-10
+-11
+-13
+-16
+-22
+-28
+-34
+-37
+-6
+-8
+-12
+-17
+-22
+-27
+-30
+-31
+30
+24
+15
+7
+5
+9
+16
+21
+45
+39
+30
+22
+21
+25
+32
+37
+53
+48
+40
+35
+36
+43
+52
+58
+36
+33
+29
+29
+36
+47
+60
+68
+4
+2
+2
+7
+18
+34
+51
+61
+-18
+-20
+-20
+-15
+-4
+12
+28
+38
+-18
+-22
+-26
+-26
+-20
+-8
+4
+12
+-9
+-14
+-22
+-27
+-26
+-20
+-11
+-5
+41
+38
+34
+28
+21
+15
+11
+8
+56
+53
+49
+44
+38
+32
+28
+26
+65
+63
+60
+55
+50
+46
+42
+40
+61
+59
+57
+54
+50
+47
+44
+43
+54
+53
+52
+50
+48
+46
+45
+44
+46
+46
+46
+45
+44
+44
+43
+43
+28
+28
+29
+29
+30
+30
+30
+31
+8
+9
+9
+10
+11
+12
+13
+13
+70
+71
+71
+66
+56
+43
+30
+21
+65
+61
+54
+46
+41
+38
+37
+37
+56
+48
+36
+28
+28
+37
+49
+57
+45
+40
+32
+29
+33
+44
+58
+67
+40
+41
+44
+47
+51
+56
+59
+62
+46
+51
+58
+64
+64
+59
+52
+47
+60
+63
+67
+67
+62
+51
+39
+32
+72
+71
+69
+63
+54
+41
+30
+23
+-13
+-8
+2
+13
+22
+28
+31
+32
+4
+6
+10
+15
+19
+23
+25
+26
+20
+18
+16
+14
+14
+16
+19
+21
+18
+15
+11
+9
+9
+12
+15
+18
+2
+2
+2
+4
+8
+12
+17
+19
+-11
+-7
+1
+9
+15
+18
+20
+20
+-11
+-2
+11
+23
+28
+27
+22
+18
+-5
+6
+22
+35
+39
+33
+23
+15
+-2
+-12
+-17
+-16
+-18
+-18
+-1
+20
+-2
+-12
+-17
+-16
+-18
+-18
+-1
+20
+-2
+-12
+-17
+-16
+-18
+-18
+-1
+20
+-2
+-12
+-17
+-16
+-18
+-18
+-1
+20
+-2
+-12
+-17
+-16
+-18
+-18
+-1
+20
+-2
+-12
+-17
+-16
+-18
+-18
+-1
+20
+-2
+-12
+-17
+-16
+-18
+-18
+-1
+20
+-2
+-12
+-17
+-16
+-18
+-18
+-1
+20
+50
+18
+11
+20
+17
+19
+22
+14
+46
+15
+8
+17
+14
+15
+19
+10
+41
+9
+2
+11
+8
+10
+14
+5
+35
+3
+-4
+6
+3
+4
+8
+-1
+31
+-1
+-8
+2
+-1
+0
+4
+-5
+29
+-3
+-10
+0
+-3
+-2
+2
+-7
+29
+-3
+-10
+0
+-4
+-2
+2
+-7
+29
+-2
+-10
+0
+-3
+-2
+2
+-7
+17
+20
+26
+33
+41
+49
+54
+57
+2
+4
+8
+12
+17
+22
+26
+27
+-4
+-4
+-4
+-3
+-3
+-2
+-2
+-2
+3
+2
+0
+-3
+-6
+-8
+-10
+-12
+9
+7
+5
+1
+-2
+-6
+-8
+-10
+6
+5
+4
+3
+1
+0
+-2
+-2
+7
+7
+9
+10
+12
+13
+15
+15
+12
+14
+17
+21
+25
+29
+32
+33
+27
+28
+32
+39
+52
+67
+81
+89
+7
+8
+12
+21
+34
+50
+65
+74
+-17
+-16
+-13
+-6
+6
+21
+34
+43
+-26
+-28
+-29
+-28
+-22
+-13
+-4
+2
+-17
+-22
+-29
+-36
+-39
+-38
+-35
+-32
+0
+-7
+-19
+-31
+-40
+-45
+-46
+-46
+14
+6
+-7
+-20
+-31
+-37
+-39
+-39
+20
+13
+0
+-12
+-22
+-27
+-28
+-28
+50
+47
+43
+38
+34
+30
+28
+26
+43
+45
+49
+50
+48
+41
+34
+29
+20
+28
+41
+51
+56
+53
+46
+41
+-23
+-13
+4
+22
+39
+51
+57
+60
+-70
+-63
+-49
+-28
+-2
+26
+49
+62
+-100
+-98
+-90
+-74
+-49
+-19
+8
+24
+-107
+-106
+-103
+-96
+-83
+-68
+-53
+-45
+-103
+-102
+-101
+-100
+-99
+-99
+-100
+-100
+49
+44
+36
+27
+19
+14
+11
+10
+43
+40
+37
+31
+24
+18
+13
+10
+38
+40
+42
+41
+37
+29
+21
+16
+40
+45
+52
+57
+55
+48
+39
+32
+47
+53
+63
+70
+71
+67
+60
+55
+53
+58
+66
+73
+78
+78
+76
+74
+53
+56
+61
+68
+74
+80
+84
+86
+51
+52
+55
+60
+68
+77
+85
+90
+6
+-2
+-14
+-25
+-31
+-30
+-26
+-23
+2
+-3
+-11
+-18
+-22
+-22
+-20
+-18
+-6
+-7
+-8
+-10
+-11
+-12
+-13
+-13
+-13
+-11
+-7
+-5
+-4
+-6
+-8
+-10
+-12
+-9
+-5
+-2
+-1
+-2
+-3
+-5
+3
+3
+3
+4
+4
+5
+6
+7
+27
+24
+18
+14
+13
+16
+21
+24
+46
+39
+30
+22
+21
+25
+31
+36
+0
+-6
+-5
+-1
+-3
+-7
+5
+22
+2
+-4
+-3
+1
+-1
+-5
+6
+24
+5
+-1
+-1
+4
+2
+-2
+9
+27
+7
+1
+1
+6
+4
+0
+11
+28
+7
+1
+1
+6
+4
+0
+11
+28
+5
+-1
+-1
+4
+2
+-2
+9
+27
+2
+-4
+-3
+1
+-1
+-5
+6
+24
+0
+-6
+-5
+-1
+-3
+-7
+5
+22
+26
+-5
+-11
+-2
+-8
+-11
+-12
+-24
+26
+-5
+-11
+-2
+-8
+-11
+-12
+-24
+26
+-5
+-11
+-2
+-8
+-11
+-12
+-24
+26
+-5
+-11
+-2
+-8
+-11
+-12
+-24
+26
+-5
+-11
+-2
+-8
+-11
+-12
+-24
+26
+-5
+-11
+-2
+-8
+-11
+-12
+-24
+26
+-5
+-11
+-2
+-8
+-11
+-12
+-24
+26
+-5
+-11
+-2
+-8
+-11
+-12
+-24
+13
+17
+25
+35
+46
+56
+63
+67
+-5
+-2
+4
+12
+20
+28
+35
+38
+-14
+-12
+-8
+-3
+2
+6
+10
+12
+-1
+-1
+0
+2
+3
+5
+6
+6
+12
+11
+11
+10
+9
+9
+8
+8
+13
+12
+11
+10
+8
+7
+6
+5
+11
+11
+10
+9
+8
+7
+6
+5
+13
+12
+12
+11
+11
+10
+10
+9
+72
+73
+70
+61
+51
+46
+49
+53
+53
+55
+54
+49
+46
+50
+61
+70
+22
+23
+24
+24
+28
+43
+64
+80
+-11
+-11
+-12
+-12
+-4
+15
+42
+61
+-35
+-37
+-40
+-42
+-38
+-22
+2
+20
+-44
+-45
+-49
+-55
+-56
+-46
+-29
+-16
+-41
+-40
+-42
+-48
+-52
+-49
+-39
+-30
+-36
+-33
+-32
+-36
+-42
+-42
+-36
+-30
+62
+55
+47
+40
+38
+42
+48
+53
+76
+72
+66
+59
+55
+54
+55
+56
+95
+95
+94
+91
+86
+80
+74
+71
+102
+107
+113
+117
+115
+109
+101
+95
+86
+94
+107
+117
+121
+119
+113
+108
+48
+56
+69
+82
+89
+91
+89
+86
+2
+7
+17
+27
+33
+36
+37
+36
+-30
+-26
+-21
+-15
+-11
+-8
+-7
+-7
+53
+48
+39
+29
+20
+13
+10
+8
+53
+48
+40
+31
+23
+17
+15
+14
+60
+56
+48
+38
+31
+25
+23
+21
+77
+71
+62
+51
+41
+34
+30
+28
+89
+83
+72
+61
+50
+42
+37
+35
+80
+75
+67
+59
+52
+47
+45
+44
+51
+49
+47
+46
+47
+49
+53
+55
+25
+26
+29
+34
+41
+50
+58
+63
+10
+-6
+-30
+-58
+-82
+-98
+-107
+-110
+18
+9
+-9
+-31
+-53
+-72
+-86
+-93
+22
+21
+16
+5
+-12
+-32
+-51
+-63
+9
+16
+24
+27
+18
+1
+-18
+-30
+-11
+-1
+13
+24
+25
+16
+3
+-7
+-21
+-14
+-4
+5
+10
+8
+4
+1
+-14
+-15
+-15
+-15
+-13
+-10
+-7
+-5
+-2
+-9
+-19
+-27
+-29
+-25
+-18
+-13
+-22
+-19
+-8
+11
+27
+30
+20
+7
+-22
+-22
+-16
+-2
+15
+23
+20
+13
+-13
+-18
+-20
+-14
+-2
+11
+18
+20
+6
+-2
+-12
+-16
+-11
+0
+12
+20
+23
+15
+4
+-7
+-11
+-6
+5
+13
+20
+19
+15
+6
+-4
+-6
+-1
+4
+-4
+6
+14
+13
+4
+-4
+-4
+-2
+-26
+-10
+8
+15
+8
+-1
+-5
+-4
+-2
+4
+20
+43
+64
+69
+59
+46
+-1
+7
+25
+48
+65
+66
+53
+39
+3
+14
+34
+55
+66
+60
+43
+28
+12
+25
+45
+61
+64
+51
+31
+16
+25
+38
+54
+63
+57
+40
+20
+7
+41
+51
+62
+61
+47
+28
+12
+3
+56
+63
+66
+57
+37
+17
+6
+3
+65
+69
+68
+53
+30
+11
+3
+3
+33
+-14
+-5
+5
+2
+3
+-10
+-3
+33
+-14
+-5
+4
+1
+2
+-10
+-4
+32
+-15
+-6
+3
+0
+1
+-11
+-4
+30
+-17
+-8
+2
+-1
+0
+-12
+-6
+29
+-18
+-9
+1
+-2
+-1
+-14
+-7
+28
+-19
+-10
+-1
+-4
+-3
+-15
+-8
+27
+-20
+-11
+-1
+-5
+-3
+-16
+-9
+26
+-21
+-12
+-2
+-5
+-4
+-16
+-10
+-9
+-7
+-3
+0
+9
+27
+50
+67
+-17
+-17
+-17
+-17
+-10
+9
+34
+52
+-25
+-28
+-33
+-37
+-33
+-15
+12
+31
+-25
+-30
+-40
+-48
+-47
+-31
+-6
+13
+-18
+-23
+-34
+-44
+-47
+-36
+-16
+1
+-11
+-14
+-22
+-32
+-38
+-34
+-21
+-10
+-8
+-8
+-11
+-20
+-29
+-32
+-26
+-20
+-8
+-6
+-7
+-14
+-24
+-31
+-31
+-28
+55
+48
+39
+33
+29
+25
+20
+15
+57
+50
+40
+33
+28
+24
+21
+19
+59
+53
+44
+34
+26
+23
+24
+25
+59
+56
+49
+38
+28
+23
+26
+30
+55
+58
+57
+47
+34
+25
+26
+30
+47
+57
+65
+60
+43
+28
+25
+27
+38
+56
+73
+72
+53
+32
+23
+22
+32
+55
+78
+79
+59
+34
+21
+18
+-19
+-18
+-15
+-13
+-10
+-7
+-5
+-4
+-1
+-3
+-7
+-10
+-12
+-11
+-8
+-7
+26
+18
+6
+-6
+-13
+-14
+-12
+-9
+48
+36
+17
+-1
+-12
+-15
+-12
+-8
+53
+41
+23
+5
+-7
+-9
+-6
+-3
+42
+34
+22
+10
+2
+1
+4
+7
+23
+20
+17
+13
+12
+13
+16
+17
+9
+10
+13
+15
+18
+21
+23
+24
+27
+-22
+-16
+-8
+-11
+-8
+-18
+-9
+27
+-22
+-16
+-8
+-11
+-8
+-18
+-9
+27
+-22
+-16
+-8
+-11
+-8
+-18
+-9
+27
+-22
+-16
+-8
+-11
+-8
+-18
+-9
+27
+-22
+-16
+-8
+-11
+-8
+-18
+-9
+27
+-22
+-16
+-8
+-11
+-8
+-18
+-9
+27
+-22
+-16
+-8
+-11
+-8
+-18
+-9
+27
+-22
+-16
+-8
+-11
+-8
+-18
+-9
+-5
+27
+67
+88
+80
+55
+31
+16
+-10
+16
+52
+77
+79
+63
+41
+27
+-18
+-1
+28
+57
+74
+72
+57
+43
+-24
+-16
+4
+34
+62
+73
+67
+56
+-25
+-24
+-13
+12
+41
+61
+66
+62
+-23
+-23
+-19
+-6
+15
+37
+52
+60
+-18
+-18
+-19
+-17
+-8
+11
+35
+52
+-14
+-13
+-16
+-23
+-23
+-7
+23
+46
+23
+32
+40
+38
+28
+22
+25
+30
+16
+27
+38
+38
+31
+24
+23
+25
+6
+18
+32
+38
+34
+26
+19
+17
+-4
+8
+24
+35
+35
+27
+16
+9
+-11
+-1
+14
+27
+32
+27
+14
+5
+-13
+-8
+2
+16
+26
+25
+14
+4
+-12
+-13
+-8
+5
+18
+22
+15
+7
+-11
+-15
+-13
+-2
+13
+20
+16
+9
+36
+-4
+-12
+3
+-1
+-9
+-6
+-7
+36
+-4
+-12
+3
+-1
+-9
+-6
+-7
+36
+-4
+-12
+3
+-1
+-9
+-6
+-7
+36
+-4
+-12
+3
+-1
+-9
+-6
+-7
+36
+-4
+-12
+3
+-1
+-9
+-6
+-7
+36
+-4
+-12
+3
+-1
+-9
+-6
+-7
+36
+-4
+-12
+3
+-1
+-9
+-6
+-7
+36
+-4
+-12
+3
+-1
+-9
+-6
+-7
+-9
+-3
+-3
+-15
+-28
+-26
+-6
+14
+-11
+-5
+-5
+-17
+-29
+-29
+-12
+5
+-10
+-6
+-5
+-15
+-27
+-29
+-18
+-5
+-6
+-2
+-1
+-7
+-17
+-21
+-16
+-9
+-2
+2
+5
+3
+-2
+-7
+-8
+-7
+-5
+0
+5
+9
+9
+5
+0
+-4
+-14
+-9
+-1
+8
+13
+11
+3
+-4
+-23
+-17
+-7
+5
+13
+11
+2
+-6
+46
+37
+27
+19
+14
+8
+1
+-5
+38
+36
+31
+23
+14
+6
+2
+0
+25
+32
+37
+30
+16
+5
+5
+9
+10
+27
+41
+37
+20
+8
+10
+17
+0
+20
+40
+42
+28
+15
+16
+22
+-7
+12
+34
+43
+37
+27
+22
+22
+-9
+6
+27
+43
+47
+39
+28
+19
+-9
+2
+22
+42
+52
+47
+31
+17
+5
+3
+0
+-5
+-10
+-14
+-17
+-19
+-1
+-5
+-9
+-14
+-17
+-18
+-16
+-15
+-9
+-15
+-22
+-27
+-27
+-22
+-14
+-8
+-16
+-22
+-31
+-36
+-33
+-22
+-9
+-1
+-17
+-22
+-30
+-34
+-29
+-17
+-3
+6
+-12
+-16
+-20
+-22
+-17
+-7
+3
+11
+-5
+-6
+-7
+-6
+-2
+4
+9
+13
+-1
+1
+3
+5
+8
+11
+13
+14
+27
+-4
+-9
+2
+1
+4
+9
+1
+34
+2
+-3
+7
+6
+9
+14
+6
+41
+10
+3
+14
+12
+14
+19
+10
+45
+14
+7
+17
+14
+16
+20
+11
+49
+17
+10
+19
+15
+16
+20
+11
+57
+25
+17
+25
+21
+22
+25
+16
+70
+37
+29
+37
+32
+32
+35
+25
+80
+47
+39
+46
+41
+41
+43
+34
+-27
+-15
+8
+35
+62
+85
+101
+110
+-31
+-23
+-7
+16
+44
+72
+95
+108
+-30
+-30
+-24
+-10
+15
+47
+78
+96
+-21
+-27
+-34
+-31
+-14
+16
+47
+68
+-7
+-18
+-32
+-39
+-31
+-11
+13
+29
+-2
+-11
+-24
+-33
+-33
+-25
+-12
+-3
+-7
+-11
+-16
+-21
+-24
+-24
+-22
+-21
+-15
+-14
+-13
+-13
+-15
+-19
+-24
+-27
+61
+28
+-13
+-34
+-24
+5
+35
+51
+74
+47
+11
+-13
+-12
+9
+35
+53
+89
+72
+45
+19
+8
+16
+38
+56
+92
+86
+71
+48
+30
+29
+45
+61
+74
+77
+74
+63
+49
+46
+55
+66
+39
+46
+56
+62
+63
+64
+68
+71
+-1
+9
+27
+51
+71
+81
+80
+75
+-27
+-17
+6
+41
+74
+90
+87
+78
+89
+103
+102
+75
+45
+25
+12
+2
+95
+111
+114
+91
+61
+40
+23
+10
+100
+121
+131
+113
+84
+60
+38
+21
+100
+125
+141
+128
+100
+74
+48
+28
+97
+124
+143
+133
+107
+80
+53
+33
+97
+124
+142
+132
+107
+83
+59
+40
+102
+127
+143
+132
+108
+87
+67
+51
+108
+131
+145
+133
+110
+91
+73
+59
+12
+12
+10
+1
+-17
+-41
+-64
+-78
+5
+8
+9
+3
+-13
+-38
+-62
+-77
+-4
+2
+8
+7
+-7
+-31
+-56
+-73
+-11
+-3
+7
+10
+0
+-22
+-46
+-62
+-13
+-5
+6
+11
+5
+-12
+-32
+-45
+-9
+-3
+6
+10
+8
+-2
+-15
+-23
+-2
+1
+6
+9
+8
+5
+1
+-3
+3
+4
+6
+7
+8
+9
+10
+10
+-20
+-20
+-23
+-31
+-39
+-40
+-34
+-27
+-18
+-20
+-26
+-36
+-45
+-45
+-37
+-28
+-13
+-18
+-28
+-42
+-51
+-50
+-40
+-29
+-6
+-12
+-25
+-41
+-52
+-51
+-40
+-29
+4
+-1
+-13
+-29
+-42
+-44
+-36
+-27
+15
+12
+5
+-9
+-23
+-30
+-28
+-23
+24
+26
+23
+13
+-3
+-15
+-19
+-19
+30
+34
+35
+27
+11
+-5
+-14
+-17
+80
+53
+55
+70
+68
+65
+62
+48
+87
+61
+62
+77
+75
+73
+70
+57
+97
+70
+70
+85
+83
+82
+82
+70
+100
+72
+70
+85
+84
+85
+87
+76
+91
+62
+59
+72
+72
+75
+80
+71
+71
+41
+36
+49
+50
+55
+62
+54
+49
+18
+12
+24
+26
+32
+40
+34
+34
+2
+-4
+8
+10
+17
+26
+21
+116
+130
+142
+138
+117
+91
+73
+65
+82
+99
+116
+120
+106
+86
+70
+62
+29
+48
+73
+88
+87
+76
+64
+56
+-24
+-4
+25
+50
+62
+61
+54
+48
+-59
+-43
+-16
+13
+34
+43
+42
+38
+-72
+-63
+-44
+-17
+9
+25
+29
+27
+-69
+-68
+-59
+-38
+-11
+10
+18
+19
+-63
+-67
+-65
+-48
+-22
+1
+12
+14
+29
+26
+31
+38
+34
+25
+30
+42
+31
+28
+32
+38
+33
+24
+28
+41
+33
+30
+33
+39
+33
+23
+26
+38
+37
+33
+35
+39
+32
+21
+23
+35
+41
+36
+38
+40
+32
+19
+20
+31
+44
+39
+40
+41
+31
+17
+17
+27
+47
+42
+41
+42
+30
+15
+14
+25
+49
+43
+42
+42
+30
+14
+13
+23
+32
+-7
+-14
+3
+0
+-7
+-3
+-4
+32
+-7
+-14
+3
+0
+-7
+-3
+-4
+32
+-7
+-14
+3
+0
+-7
+-3
+-4
+32
+-7
+-14
+3
+0
+-7
+-3
+-4
+32
+-7
+-14
+3
+0
+-7
+-3
+-4
+32
+-7
+-14
+3
+0
+-7
+-3
+-4
+32
+-7
+-14
+3
+0
+-7
+-3
+-4
+32
+-7
+-14
+3
+0
+-7
+-3
+-4
+-15
+-17
+-21
+-25
+-27
+-27
+-25
+-24
+6
+3
+-3
+-8
+-12
+-14
+-14
+-14
+30
+25
+18
+9
+3
+-2
+-4
+-5
+46
+41
+33
+23
+15
+9
+6
+5
+60
+56
+48
+40
+33
+29
+27
+26
+63
+60
+56
+51
+49
+49
+49
+50
+45
+44
+43
+43
+46
+50
+54
+57
+21
+22
+23
+26
+32
+39
+46
+50
+56
+45
+37
+31
+21
+12
+20
+36
+57
+46
+38
+33
+22
+13
+21
+37
+59
+48
+40
+35
+24
+15
+23
+39
+60
+49
+40
+35
+25
+16
+24
+40
+58
+47
+39
+34
+23
+14
+22
+38
+55
+44
+36
+31
+20
+11
+19
+35
+51
+40
+32
+27
+17
+8
+15
+31
+49
+38
+30
+25
+14
+5
+13
+29
+34
+-5
+-12
+5
+2
+-5
+-1
+-2
+34
+-5
+-12
+5
+2
+-5
+-1
+-2
+34
+-5
+-12
+5
+2
+-5
+-1
+-2
+34
+-5
+-12
+5
+2
+-5
+-1
+-2
+34
+-5
+-12
+5
+2
+-5
+-1
+-2
+34
+-5
+-12
+5
+2
+-5
+-1
+-2
+34
+-5
+-12
+5
+2
+-5
+-1
+-2
+34
+-5
+-12
+5
+2
+-5
+-1
+-2
+-34
+-31
+-25
+-16
+-4
+9
+21
+27
+-48
+-48
+-45
+-40
+-32
+-23
+-15
+-10
+-55
+-57
+-59
+-61
+-60
+-58
+-55
+-53
+-48
+-52
+-59
+-67
+-74
+-78
+-80
+-81
+-42
+-48
+-59
+-71
+-82
+-91
+-96
+-98
+-45
+-52
+-63
+-77
+-89
+-99
+-105
+-108
+-45
+-51
+-62
+-75
+-87
+-96
+-102
+-104
+-39
+-45
+-55
+-67
+-78
+-86
+-91
+-94
+18
+18
+18
+18
+18
+18
+19
+18
+-13
+-11
+-6
+0
+6
+12
+17
+19
+-56
+-50
+-41
+-28
+-14
+-1
+8
+14
+-87
+-80
+-68
+-53
+-36
+-21
+-9
+-3
+-97
+-91
+-81
+-67
+-52
+-39
+-28
+-23
+-95
+-90
+-82
+-71
+-58
+-47
+-38
+-34
+-91
+-87
+-78
+-67
+-56
+-45
+-36
+-32
+-90
+-85
+-76
+-64
+-51
+-40
+-31
+-26
+40
+31
+24
+14
+-2
+-12
+2
+25
+37
+29
+21
+12
+-4
+-13
+1
+23
+33
+25
+18
+9
+-6
+-15
+-1
+22
+29
+22
+15
+7
+-8
+-16
+-1
+22
+28
+20
+14
+7
+-7
+-15
+1
+24
+28
+20
+15
+8
+-5
+-12
+4
+28
+29
+22
+17
+11
+-2
+-8
+8
+32
+30
+23
+18
+12
+0
+-6
+10
+34
+29
+-10
+-16
+2
+0
+-5
+0
+0
+29
+-10
+-16
+2
+0
+-5
+0
+0
+29
+-10
+-16
+2
+0
+-5
+0
+0
+29
+-10
+-16
+2
+0
+-5
+0
+0
+29
+-10
+-16
+2
+0
+-5
+0
+0
+29
+-10
+-16
+2
+0
+-5
+0
+0
+29
+-10
+-16
+2
+0
+-5
+0
+0
+29
+-10
+-16
+2
+0
+-5
+0
+0
+-68
+-60
+-43
+-21
+-2
+4
+-2
+-10
+-67
+-60
+-46
+-27
+-10
+-3
+-7
+-14
+-61
+-58
+-49
+-34
+-19
+-12
+-14
+-19
+-51
+-50
+-44
+-33
+-21
+-15
+-18
+-23
+-36
+-35
+-29
+-19
+-10
+-9
+-16
+-24
+-18
+-15
+-6
+5
+11
+6
+-8
+-20
+-3
+5
+18
+31
+35
+24
+2
+-15
+7
+16
+33
+48
+50
+35
+9
+-11
+-26
+-34
+-42
+-46
+-44
+-43
+-47
+-51
+-35
+-45
+-55
+-59
+-55
+-50
+-49
+-50
+-49
+-61
+-73
+-77
+-71
+-60
+-52
+-49
+-61
+-74
+-88
+-92
+-82
+-67
+-53
+-47
+-66
+-78
+-91
+-94
+-83
+-66
+-51
+-44
+-64
+-74
+-83
+-83
+-72
+-58
+-47
+-42
+-57
+-64
+-70
+-68
+-57
+-47
+-41
+-40
+-52
+-57
+-60
+-56
+-47
+-39
+-37
+-38
+48
+43
+36
+31
+31
+37
+45
+50
+46
+44
+42
+41
+43
+47
+52
+55
+45
+48
+53
+58
+61
+63
+64
+64
+46
+53
+63
+73
+78
+78
+74
+71
+50
+59
+71
+83
+88
+86
+80
+76
+58
+65
+75
+84
+88
+86
+81
+77
+66
+70
+76
+81
+83
+81
+78
+75
+71
+73
+75
+77
+78
+76
+75
+73
+56
+37
+21
+15
+11
+9
+20
+35
+55
+36
+20
+14
+10
+8
+19
+35
+54
+36
+19
+14
+9
+7
+18
+34
+53
+34
+18
+12
+8
+6
+17
+32
+52
+33
+17
+11
+7
+5
+16
+31
+51
+32
+15
+10
+6
+3
+14
+30
+50
+31
+14
+9
+5
+3
+13
+29
+49
+30
+14
+8
+4
+2
+13
+28
+29
+-10
+-16
+2
+0
+-5
+0
+0
+29
+-10
+-16
+2
+0
+-5
+0
+0
+29
+-10
+-16
+2
+0
+-5
+0
+0
+29
+-10
+-16
+2
+0
+-5
+0
+0
+29
+-10
+-16
+2
+0
+-5
+0
+0
+29
+-10
+-16
+2
+0
+-5
+0
+0
+29
+-10
+-16
+2
+0
+-5
+0
+0
+29
+-10
+-16
+2
+0
+-5
+0
+0
+8
+12
+18
+23
+24
+22
+18
+14
+0
+2
+5
+9
+12
+14
+15
+15
+-8
+-9
+-10
+-8
+-3
+5
+14
+20
+-11
+-15
+-19
+-19
+-12
+2
+17
+27
+-9
+-14
+-20
+-21
+-13
+3
+21
+32
+-9
+-14
+-19
+-19
+-12
+3
+19
+29
+-13
+-16
+-19
+-18
+-12
+-1
+10
+18
+-18
+-19
+-21
+-19
+-14
+-6
+2
+8
+-4
+-6
+-5
+1
+8
+9
+3
+-4
+4
+-1
+-3
+-1
+4
+5
+1
+-5
+13
+5
+-2
+-5
+-2
+-1
+-4
+-8
+18
+8
+-2
+-7
+-7
+-7
+-10
+-14
+20
+12
+3
+-1
+-2
+-4
+-9
+-15
+26
+22
+18
+18
+18
+13
+3
+-6
+36
+36
+39
+44
+46
+38
+23
+11
+44
+47
+55
+64
+67
+57
+39
+24
+53
+68
+78
+78
+81
+85
+79
+66
+59
+73
+82
+82
+84
+88
+81
+68
+67
+81
+90
+88
+89
+92
+84
+71
+74
+88
+95
+93
+92
+94
+85
+71
+78
+91
+98
+94
+92
+91
+82
+68
+78
+90
+96
+90
+87
+86
+75
+60
+75
+87
+92
+86
+81
+79
+67
+52
+73
+84
+89
+82
+77
+74
+62
+47
+44
+14
+-5
+-5
+-8
+-12
+4
+32
+42
+13
+-6
+-5
+-7
+-11
+5
+32
+37
+10
+-7
+-4
+-4
+-9
+7
+33
+32
+6
+-8
+-2
+-1
+-6
+9
+35
+25
+2
+-9
+0
+2
+-3
+11
+36
+20
+-2
+-10
+1
+5
+0
+13
+38
+16
+-5
+-11
+2
+7
+2
+15
+39
+13
+-6
+-12
+3
+8
+3
+16
+39
+34
+1
+-9
+-2
+-5
+-1
+5
+-2
+40
+7
+-3
+4
+1
+5
+11
+4
+50
+16
+6
+14
+11
+14
+20
+14
+57
+23
+13
+21
+18
+21
+27
+21
+58
+25
+15
+22
+19
+23
+29
+22
+54
+20
+10
+18
+15
+18
+24
+18
+47
+13
+3
+11
+7
+11
+17
+11
+41
+8
+-2
+5
+2
+6
+12
+5
+-47
+-38
+-31
+-33
+-39
+-38
+-25
+-13
+-46
+-40
+-36
+-41
+-48
+-45
+-29
+-15
+-40
+-38
+-39
+-48
+-56
+-51
+-32
+-14
+-28
+-28
+-33
+-46
+-56
+-49
+-28
+-9
+-13
+-14
+-21
+-35
+-46
+-41
+-21
+-2
+-5
+-3
+-8
+-21
+-34
+-32
+-16
+0
+-4
+0
+-1
+-12
+-26
+-29
+-18
+-5
+-8
+-1
+1
+-9
+-23
+-28
+-21
+-11
+8
+22
+33
+35
+42
+52
+50
+41
+7
+21
+32
+35
+41
+50
+47
+37
+9
+24
+36
+38
+43
+50
+45
+34
+17
+33
+45
+48
+51
+55
+48
+34
+26
+43
+56
+59
+61
+62
+52
+36
+30
+48
+62
+64
+65
+63
+50
+33
+27
+45
+60
+62
+61
+58
+43
+24
+22
+40
+55
+58
+56
+52
+36
+16
+-10
+-11
+-3
+10
+14
+15
+28
+46
+-12
+-13
+-5
+8
+12
+13
+27
+45
+-14
+-16
+-8
+5
+10
+11
+24
+42
+-16
+-18
+-10
+3
+8
+9
+22
+40
+-16
+-18
+-10
+3
+8
+9
+22
+40
+-14
+-16
+-8
+5
+10
+11
+24
+42
+-12
+-13
+-5
+8
+12
+13
+27
+45
+-10
+-11
+-3
+10
+14
+15
+28
+46
+43
+10
+2
+9
+4
+4
+7
+-3
+43
+10
+2
+10
+5
+5
+8
+-1
+42
+10
+2
+11
+7
+7
+10
+1
+40
+8
+1
+10
+6
+7
+11
+2
+35
+4
+-3
+7
+4
+6
+10
+1
+29
+-2
+-8
+2
+0
+2
+7
+-2
+23
+-8
+-14
+-3
+-5
+-2
+3
+-5
+19
+-12
+-17
+-6
+-8
+-4
+1
+-7
+-6
+-5
+4
+17
+21
+19
+28
+44
+-4
+-4
+6
+19
+22
+20
+30
+45
+-2
+-2
+7
+21
+24
+22
+31
+47
+-3
+-3
+6
+20
+23
+21
+30
+46
+-9
+-9
+1
+14
+17
+15
+25
+40
+-18
+-18
+-8
+5
+8
+6
+16
+31
+-27
+-27
+-18
+-4
+-1
+-3
+6
+22
+-33
+-33
+-24
+-10
+-7
+-9
+0
+16
+20
+-11
+-15
+-1
+3
+13
+24
+20
+20
+-11
+-17
+-3
+0
+9
+20
+15
+21
+-11
+-18
+-6
+-5
+3
+13
+8
+23
+-10
+-18
+-8
+-9
+-3
+5
+-1
+27
+-6
+-16
+-8
+-11
+-8
+-1
+-8
+33
+-1
+-12
+-7
+-12
+-10
+-5
+-12
+39
+4
+-8
+-4
+-11
+-10
+-7
+-15
+42
+7
+-6
+-2
+-10
+-10
+-7
+-16
+-116
+-115
+-111
+-107
+-102
+-97
+-93
+-92
+-126
+-125
+-122
+-118
+-114
+-110
+-107
+-105
+-130
+-129
+-126
+-123
+-120
+-116
+-114
+-113
+-124
+-122
+-120
+-117
+-114
+-111
+-109
+-108
+-119
+-118
+-116
+-112
+-108
+-105
+-103
+-101
+-122
+-120
+-116
+-112
+-107
+-102
+-99
+-97
+-122
+-120
+-115
+-109
+-102
+-96
+-91
+-89
+-118
+-115
+-110
+-103
+-95
+-88
+-82
+-79
+-15
+-18
+-23
+-26
+-25
+-21
+-14
+-10
+-19
+-20
+-22
+-22
+-21
+-18
+-15
+-13
+-26
+-24
+-20
+-17
+-15
+-15
+-16
+-17
+-33
+-29
+-21
+-15
+-13
+-15
+-18
+-21
+-39
+-34
+-26
+-20
+-17
+-18
+-21
+-23
+-43
+-40
+-35
+-30
+-26
+-24
+-23
+-24
+-44
+-44
+-43
+-41
+-37
+-31
+-26
+-22
+-45
+-47
+-49
+-49
+-44
+-36
+-27
+-21
+-37
+-33
+-22
+-13
+-18
+-25
+-13
+6
+-41
+-37
+-26
+-17
+-22
+-28
+-17
+2
+-46
+-43
+-31
+-22
+-27
+-34
+-23
+-3
+-50
+-46
+-35
+-26
+-31
+-38
+-26
+-7
+-50
+-46
+-35
+-26
+-31
+-38
+-26
+-7
+-46
+-43
+-31
+-22
+-27
+-34
+-23
+-3
+-41
+-37
+-26
+-17
+-22
+-28
+-17
+2
+-37
+-33
+-22
+-13
+-18
+-25
+-13
+6
+61
+22
+5
+3
+-9
+-12
+-9
+-18
+57
+20
+3
+2
+-8
+-11
+-8
+-17
+51
+15
+1
+2
+-7
+-9
+-7
+-15
+42
+8
+-3
+2
+-5
+-6
+-4
+-14
+33
+1
+-6
+2
+-3
+-3
+-2
+-12
+24
+-6
+-10
+2
+-1
+-1
+1
+-10
+17
+-11
+-12
+2
+1
+2
+3
+-8
+14
+-13
+-14
+2
+2
+3
+3
+-8
+34
+30
+24
+16
+7
+-2
+-8
+-11
+27
+26
+24
+20
+13
+5
+-3
+-8
+15
+19
+24
+26
+22
+13
+2
+-5
+3
+10
+20
+27
+26
+17
+5
+-3
+-6
+2
+13
+21
+21
+14
+3
+-4
+-11
+-6
+2
+8
+8
+3
+-4
+-9
+-13
+-11
+-8
+-6
+-7
+-9
+-13
+-16
+-13
+-14
+-15
+-16
+-17
+-18
+-19
+-20
+5
+7
+10
+12
+12
+11
+8
+6
+18
+20
+22
+23
+23
+20
+17
+15
+27
+28
+29
+29
+27
+23
+19
+16
+27
+27
+27
+25
+21
+14
+9
+5
+32
+31
+29
+25
+18
+10
+3
+-2
+39
+38
+34
+28
+20
+10
+1
+-5
+37
+35
+30
+23
+12
+1
+-9
+-15
+27
+25
+19
+11
+0
+-13
+-23
+-29
+0
+1
+1
+-2
+-9
+-19
+-29
+-35
+5
+3
+0
+-5
+-11
+-18
+-23
+-26
+11
+6
+-2
+-10
+-15
+-16
+-14
+-12
+13
+6
+-6
+-16
+-18
+-13
+-5
+1
+10
+2
+-10
+-19
+-19
+-11
+1
+9
+1
+-5
+-15
+-20
+-18
+-9
+3
+11
+-9
+-13
+-18
+-20
+-16
+-8
+2
+8
+-16
+-18
+-20
+-19
+-15
+-7
+0
+5
+-44
+-33
+-28
+-17
+-4
+-11
+-12
+12
+-42
+-31
+-28
+-17
+-3
+-11
+-10
+14
+-38
+-28
+-26
+-17
+-3
+-9
+-7
+18
+-34
+-25
+-25
+-17
+-3
+-8
+-4
+23
+-29
+-21
+-23
+-16
+-2
+-6
+0
+28
+-24
+-18
+-21
+-16
+-2
+-4
+3
+33
+-20
+-15
+-20
+-15
+-1
+-3
+6
+36
+-18
+-14
+-19
+-15
+-1
+-2
+7
+38
+38
+7
+0
+9
+3
+0
+-1
+-12
+38
+8
+1
+10
+4
+1
+0
+-12
+39
+8
+2
+11
+5
+2
+1
+-11
+39
+8
+2
+10
+4
+1
+0
+-11
+36
+5
+-1
+7
+2
+-1
+-2
+-14
+32
+1
+-6
+3
+-3
+-6
+-7
+-18
+27
+-4
+-10
+-2
+-7
+-10
+-11
+-23
+24
+-7
+-13
+-5
+-10
+-14
+-14
+-26
+31
+30
+27
+20
+9
+-4
+-17
+-24
+25
+26
+27
+23
+14
+0
+-14
+-23
+17
+21
+27
+28
+21
+8
+-8
+-18
+9
+16
+25
+31
+27
+16
+2
+-8
+4
+11
+22
+29
+30
+23
+14
+7
+4
+9
+17
+24
+28
+28
+26
+24
+6
+8
+12
+17
+24
+31
+37
+40
+8
+8
+9
+13
+21
+32
+43
+50
+36
+26
+12
+-1
+-7
+-6
+1
+5
+26
+18
+7
+-3
+-6
+-3
+4
+10
+17
+11
+2
+-5
+-7
+-2
+5
+10
+16
+11
+2
+-6
+-9
+-8
+-4
+-1
+20
+14
+5
+-6
+-13
+-17
+-18
+-18
+19
+14
+4
+-6
+-16
+-23
+-27
+-29
+10
+6
+0
+-8
+-16
+-22
+-26
+-29
+1
+-1
+-6
+-10
+-15
+-20
+-23
+-24
+13
+23
+20
+15
+10
+-11
+-15
+9
+15
+25
+23
+20
+16
+-5
+-10
+14
+17
+29
+29
+27
+24
+3
+-2
+22
+17
+30
+33
+34
+32
+11
+5
+28
+12
+28
+33
+37
+37
+16
+9
+31
+3
+21
+30
+36
+37
+16
+8
+30
+-5
+14
+24
+32
+35
+14
+6
+27
+-11
+9
+20
+30
+33
+12
+3
+24
+25
+-9
+-20
+-14
+-18
+-16
+-11
+-18
+25
+-9
+-20
+-14
+-18
+-16
+-11
+-18
+25
+-9
+-20
+-14
+-18
+-16
+-11
+-18
+25
+-9
+-20
+-14
+-18
+-16
+-11
+-18
+25
+-9
+-20
+-14
+-18
+-16
+-11
+-18
+25
+-9
+-20
+-14
+-18
+-16
+-11
+-18
+25
+-9
+-20
+-14
+-18
+-16
+-11
+-18
+25
+-9
+-20
+-14
+-18
+-16
+-11
+-18
+-8
+3
+2
+2
+6
+-6
+-1
+29
+-8
+2
+1
+2
+5
+-6
+-2
+28
+-9
+1
+1
+1
+4
+-7
+-3
+27
+-10
+0
+-1
+0
+3
+-8
+-4
+26
+-12
+-1
+-2
+-2
+2
+-10
+-5
+25
+-13
+-2
+-3
+-3
+0
+-11
+-7
+23
+-14
+-3
+-4
+-4
+-1
+-12
+-7
+22
+-14
+-4
+-5
+-4
+-1
+-12
+-8
+22
+25
+-6
+-12
+-2
+-3
+-1
+4
+-4
+25
+-6
+-12
+-2
+-3
+-1
+4
+-4
+25
+-6
+-12
+-2
+-3
+-1
+4
+-4
+25
+-6
+-12
+-2
+-3
+-1
+4
+-4
+25
+-6
+-12
+-2
+-3
+-1
+4
+-4
+25
+-6
+-12
+-2
+-3
+-1
+4
+-4
+25
+-6
+-12
+-2
+-3
+-1
+4
+-4
+25
+-6
+-12
+-2
+-3
+-1
+4
+-4
+-9
+-3
+-8
+-6
+3
+-7
+-6
+19
+-9
+-3
+-8
+-6
+3
+-7
+-6
+19
+-9
+-3
+-8
+-6
+3
+-7
+-6
+19
+-9
+-3
+-8
+-6
+3
+-7
+-6
+19
+-9
+-3
+-8
+-6
+3
+-7
+-6
+19
+-9
+-3
+-8
+-6
+3
+-7
+-6
+19
+-9
+-3
+-8
+-6
+3
+-7
+-6
+19
+-9
+-3
+-8
+-6
+3
+-7
+-6
+19
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+-6
+0
+-6
+-5
+2
+-9
+-9
+16
+-6
+0
+-6
+-5
+2
+-9
+-9
+16
+-6
+0
+-6
+-5
+2
+-9
+-9
+16
+-6
+0
+-6
+-5
+2
+-9
+-9
+16
+-6
+0
+-6
+-5
+2
+-9
+-9
+16
+-6
+0
+-6
+-5
+2
+-9
+-9
+16
+-6
+0
+-6
+-5
+2
+-9
+-9
+16
+-6
+0
+-6
+-5
+2
+-9
+-9
+16
+27
+-5
+-12
+-3
+-6
+-4
+0
+-9
+24
+-7
+-14
+-5
+-8
+-7
+-3
+-12
+21
+-11
+-18
+-8
+-12
+-10
+-6
+-15
+18
+-14
+-21
+-12
+-15
+-13
+-10
+-18
+17
+-15
+-22
+-13
+-16
+-15
+-11
+-20
+17
+-15
+-22
+-12
+-15
+-14
+-10
+-19
+19
+-13
+-20
+-11
+-14
+-12
+-9
+-17
+20
+-12
+-19
+-9
+-12
+-11
+-7
+-16
+0
+15
+36
+52
+53
+39
+19
+5
+-5
+6
+22
+33
+32
+21
+4
+-7
+-9
+-3
+4
+8
+5
+-3
+-13
+-20
+-6
+-6
+-7
+-9
+-13
+-18
+-22
+-25
+-1
+-4
+-8
+-13
+-17
+-19
+-19
+-19
+2
+-1
+-5
+-9
+-11
+-11
+-11
+-10
+-1
+-1
+-2
+-3
+-3
+-3
+-3
+-3
+-5
+-4
+-2
+0
+1
+1
+1
+0
+-13
+-2
+16
+30
+36
+32
+23
+16
+-14
+-6
+7
+17
+21
+18
+12
+7
+-11
+-8
+-4
+0
+1
+1
+-1
+-3
+-4
+-6
+-8
+-10
+-10
+-9
+-8
+-7
+3
+-1
+-5
+-9
+-11
+-9
+-6
+-4
+2
+0
+-2
+-5
+-5
+-4
+-2
+-1
+-5
+-4
+-3
+-2
+-1
+-1
+-1
+-1
+-13
+-10
+-5
+-1
+1
+0
+-2
+-4
+-126
+-130
+-128
+-125
+-128
+-130
+-114
+-92
+-126
+-130
+-127
+-123
+-126
+-127
+-110
+-88
+-126
+-129
+-126
+-120
+-122
+-122
+-104
+-82
+-125
+-128
+-124
+-118
+-119
+-118
+-100
+-77
+-124
+-127
+-123
+-117
+-117
+-117
+-99
+-76
+-123
+-126
+-123
+-117
+-119
+-119
+-101
+-79
+-122
+-125
+-123
+-118
+-121
+-122
+-106
+-84
+-121
+-125
+-123
+-119
+-123
+-124
+-109
+-87
+25
+-6
+-12
+-2
+-3
+-1
+4
+-4
+25
+-6
+-12
+-2
+-3
+-1
+4
+-4
+25
+-6
+-12
+-2
+-3
+-1
+4
+-4
+25
+-6
+-12
+-2
+-3
+-1
+4
+-4
+25
+-6
+-12
+-2
+-3
+-1
+4
+-4
+25
+-6
+-12
+-2
+-3
+-1
+4
+-4
+25
+-6
+-12
+-2
+-3
+-1
+4
+-4
+25
+-6
+-12
+-2
+-3
+-1
+4
+-4
+-128
+-131
+-128
+-123
+-127
+-131
+-117
+-97
+-128
+-131
+-127
+-123
+-127
+-130
+-117
+-97
+-127
+-130
+-126
+-122
+-126
+-129
+-116
+-96
+-126
+-129
+-126
+-121
+-125
+-129
+-115
+-95
+-125
+-128
+-124
+-120
+-124
+-127
+-114
+-94
+-124
+-127
+-123
+-119
+-123
+-126
+-113
+-93
+-123
+-126
+-123
+-118
+-122
+-126
+-112
+-92
+-123
+-125
+-122
+-118
+-122
+-125
+-112
+-91
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+-81
+-89
+-98
+-102
+-96
+-80
+-62
+-50
+-87
+-93
+-101
+-105
+-101
+-89
+-75
+-65
+-95
+-100
+-106
+-110
+-109
+-102
+-93
+-87
+-103
+-107
+-111
+-115
+-116
+-113
+-110
+-107
+-109
+-111
+-115
+-118
+-119
+-119
+-117
+-115
+-110
+-113
+-117
+-119
+-119
+-117
+-113
+-111
+-109
+-113
+-117
+-119
+-117
+-111
+-104
+-100
+-108
+-112
+-117
+-119
+-115
+-107
+-97
+-91
+25
+11
+-8
+-22
+-20
+-4
+17
+32
+17
+5
+-11
+-21
+-17
+0
+21
+36
+6
+-4
+-16
+-23
+-18
+-2
+18
+32
+-3
+-11
+-22
+-29
+-27
+-15
+-1
+9
+-9
+-16
+-27
+-35
+-38
+-34
+-27
+-21
+-14
+-20
+-29
+-37
+-43
+-44
+-42
+-40
+-20
+-24
+-29
+-34
+-38
+-40
+-40
+-40
+-25
+-26
+-28
+-30
+-32
+-32
+-32
+-32
+50
+57
+63
+58
+45
+30
+21
+17
+58
+63
+66
+58
+41
+23
+12
+8
+55
+58
+58
+47
+28
+9
+-2
+-7
+28
+31
+30
+21
+5
+-10
+-18
+-20
+-10
+-6
+-4
+-9
+-19
+-26
+-27
+-26
+-33
+-28
+-23
+-25
+-29
+-30
+-26
+-21
+-30
+-25
+-21
+-22
+-24
+-23
+-16
+-9
+-17
+-13
+-11
+-12
+-15
+-14
+-7
+0
+54
+57
+62
+65
+64
+60
+55
+52
+53
+58
+64
+67
+66
+59
+50
+44
+51
+57
+65
+69
+65
+54
+41
+32
+45
+52
+60
+64
+59
+45
+30
+20
+35
+40
+47
+49
+44
+32
+19
+10
+23
+25
+27
+27
+23
+16
+9
+4
+11
+9
+7
+4
+3
+2
+2
+2
+3
+-1
+-6
+-10
+-10
+-7
+-2
+1
+-43
+-35
+-38
+-40
+-37
+-46
+-39
+-7
+-43
+-34
+-38
+-39
+-36
+-46
+-38
+-7
+-42
+-33
+-37
+-38
+-35
+-44
+-37
+-5
+-41
+-32
+-35
+-37
+-34
+-43
+-36
+-4
+-39
+-30
+-34
+-35
+-32
+-42
+-35
+-3
+-38
+-29
+-33
+-34
+-31
+-41
+-33
+-2
+-37
+-28
+-32
+-33
+-30
+-39
+-32
+0
+-36
+-28
+-31
+-33
+-30
+-39
+-32
+0
+21
+-9
+-14
+-2
+-3
+1
+7
+-1
+21
+-9
+-14
+-2
+-3
+1
+7
+-1
+21
+-9
+-14
+-2
+-3
+1
+7
+-1
+21
+-9
+-14
+-2
+-3
+1
+7
+-1
+21
+-9
+-14
+-2
+-3
+1
+7
+-1
+21
+-9
+-14
+-2
+-3
+1
+7
+-1
+21
+-9
+-14
+-2
+-3
+1
+7
+-1
+21
+-9
+-14
+-2
+-3
+1
+7
+-1
+-55
+-48
+-38
+-29
+-25
+-26
+-29
+-33
+-56
+-48
+-37
+-27
+-23
+-25
+-30
+-35
+-58
+-49
+-36
+-25
+-21
+-25
+-33
+-39
+-62
+-52
+-39
+-28
+-25
+-30
+-39
+-46
+-67
+-59
+-47
+-38
+-35
+-40
+-48
+-54
+-73
+-67
+-59
+-52
+-50
+-53
+-58
+-62
+-78
+-75
+-71
+-67
+-66
+-66
+-68
+-69
+-81
+-80
+-79
+-77
+-76
+-75
+-74
+-73
+-27
+-18
+-23
+-25
+-23
+-33
+-26
+5
+-27
+-19
+-23
+-26
+-24
+-34
+-28
+3
+-28
+-20
+-24
+-27
+-26
+-37
+-31
+0
+-28
+-21
+-26
+-30
+-29
+-41
+-35
+-4
+-29
+-22
+-28
+-32
+-32
+-44
+-39
+-9
+-30
+-23
+-29
+-34
+-35
+-48
+-44
+-13
+-31
+-23
+-30
+-36
+-37
+-50
+-47
+-16
+-31
+-24
+-31
+-37
+-38
+-52
+-48
+-18
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+28
+-3
+-10
+-1
+-4
+-3
+1
+-8
+2
+-3
+-11
+-18
+-22
+-23
+-21
+-20
+4
+-2
+-12
+-20
+-23
+-22
+-17
+-13
+7
+-1
+-12
+-22
+-23
+-18
+-9
+-2
+8
+-1
+-12
+-21
+-20
+-12
+0
+9
+4
+-2
+-10
+-15
+-13
+-3
+9
+16
+-4
+-5
+-7
+-6
+-2
+6
+14
+19
+-12
+-9
+-4
+3
+9
+14
+18
+19
+-18
+-11
+-1
+9
+16
+19
+19
+18
+-47
+-45
+-38
+-23
+-5
+6
+9
+8
+-45
+-42
+-33
+-17
+-1
+9
+9
+5
+-43
+-38
+-26
+-9
+7
+13
+9
+3
+-42
+-36
+-22
+-4
+11
+17
+12
+5
+-42
+-36
+-24
+-6
+11
+19
+17
+12
+-43
+-41
+-31
+-14
+6
+20
+25
+25
+-45
+-46
+-40
+-24
+-1
+20
+33
+38
+-47
+-50
+-46
+-32
+-6
+19
+37
+46
+-19
+-11
+-16
+-18
+-13
+-18
+-7
+27
+-16
+-9
+-14
+-16
+-11
+-17
+-6
+28
+-12
+-5
+-10
+-13
+-8
+-15
+-4
+30
+-8
+-1
+-7
+-10
+-7
+-14
+-4
+30
+-6
+0
+-6
+-10
+-7
+-15
+-5
+28
+-6
+0
+-7
+-12
+-9
+-18
+-9
+24
+-8
+-2
+-9
+-14
+-12
+-21
+-13
+20
+-9
+-3
+-11
+-16
+-15
+-24
+-15
+18
+25
+-6
+-12
+-2
+-3
+-1
+4
+-4
+25
+-6
+-12
+-2
+-3
+-1
+4
+-4
+25
+-6
+-12
+-2
+-3
+-1
+4
+-4
+25
+-6
+-12
+-2
+-3
+-1
+4
+-4
+25
+-6
+-12
+-2
+-3
+-1
+4
+-4
+25
+-6
+-12
+-2
+-3
+-1
+4
+-4
+25
+-6
+-12
+-2
+-3
+-1
+4
+-4
+25
+-6
+-12
+-2
+-3
+-1
+4
+-4
+-44
+-49
+-54
+-52
+-37
+-13
+13
+30
+-40
+-44
+-48
+-46
+-35
+-17
+3
+15
+-34
+-36
+-38
+-37
+-32
+-23
+-13
+-6
+-27
+-27
+-28
+-28
+-27
+-26
+-25
+-24
+-21
+-21
+-21
+-22
+-23
+-26
+-28
+-29
+-16
+-17
+-18
+-19
+-20
+-21
+-21
+-22
+-14
+-16
+-18
+-19
+-18
+-15
+-10
+-7
+-12
+-15
+-19
+-21
+-17
+-10
+-2
+3
+37
+29
+19
+11
+10
+17
+27
+34
+22
+17
+11
+6
+6
+10
+16
+21
+3
+2
+2
+2
+2
+3
+4
+4
+-10
+-6
+-1
+3
+4
+2
+-2
+-5
+-12
+-6
+1
+7
+9
+6
+1
+-3
+-8
+-4
+3
+9
+11
+10
+7
+4
+-6
+-3
+0
+5
+7
+9
+9
+9
+-6
+-5
+-3
+0
+3
+6
+9
+11
+-15
+-9
+-16
+-20
+-18
+-26
+-17
+17
+-14
+-7
+-14
+-19
+-17
+-25
+-16
+17
+-10
+-4
+-12
+-17
+-15
+-24
+-16
+18
+-6
+0
+-8
+-14
+-13
+-23
+-14
+18
+-1
+4
+-4
+-10
+-11
+-21
+-13
+19
+3
+8
+-1
+-8
+-8
+-19
+-12
+20
+7
+12
+2
+-5
+-7
+-18
+-11
+21
+8
+13
+4
+-4
+-6
+-17
+-11
+21
+22
+-8
+-11
+1
+0
+1
+3
+-8
+22
+-8
+-11
+1
+0
+1
+3
+-8
+22
+-8
+-11
+1
+0
+1
+3
+-8
+22
+-8
+-11
+1
+0
+1
+3
+-8
+22
+-8
+-11
+1
+0
+1
+3
+-8
+22
+-8
+-11
+1
+0
+1
+3
+-8
+22
+-8
+-11
+1
+0
+1
+3
+-8
+22
+-8
+-11
+1
+0
+1
+3
+-8
+-20
+-6
+18
+40
+55
+59
+57
+53
+-17
+-1
+24
+47
+61
+62
+56
+50
+-11
+7
+33
+57
+68
+65
+55
+46
+-5
+13
+39
+60
+69
+63
+49
+38
+0
+15
+36
+54
+60
+52
+38
+27
+2
+12
+27
+39
+41
+34
+23
+15
+3
+8
+15
+21
+21
+16
+9
+5
+2
+4
+7
+8
+8
+5
+1
+-1
+23
+32
+44
+51
+48
+37
+23
+12
+16
+23
+32
+38
+38
+32
+23
+16
+11
+14
+18
+21
+21
+20
+17
+15
+16
+13
+10
+6
+3
+2
+2
+2
+25
+20
+10
+0
+-9
+-14
+-16
+-16
+30
+23
+13
+0
+-11
+-20
+-26
+-29
+25
+21
+14
+5
+-6
+-17
+-26
+-30
+17
+16
+13
+8
+-1
+-12
+-21
+-27
+-1
+-1
+-1
+-2
+-5
+-9
+-13
+-16
+10
+11
+13
+12
+7
+0
+-8
+-13
+20
+24
+29
+30
+26
+17
+6
+-1
+17
+24
+33
+40
+41
+35
+26
+20
+3
+11
+24
+36
+44
+46
+44
+42
+-11
+-5
+6
+19
+32
+42
+48
+52
+-18
+-15
+-10
+-1
+12
+27
+39
+47
+-18
+-19
+-19
+-14
+-2
+13
+29
+38
+-4
+-3
+-2
+-4
+-9
+-17
+-24
+-29
+-6
+-5
+-5
+-5
+-7
+-11
+-15
+-17
+-10
+-9
+-7
+-5
+-2
+0
+1
+2
+-17
+-14
+-8
+0
+7
+13
+18
+20
+-24
+-17
+-4
+9
+21
+28
+31
+32
+-31
+-18
+3
+23
+36
+40
+38
+36
+-37
+-18
+10
+36
+50
+50
+41
+34
+-40
+-18
+15
+44
+59
+55
+42
+31
+11
+13
+16
+21
+26
+31
+36
+38
+9
+13
+18
+25
+30
+34
+36
+37
+8
+13
+22
+31
+36
+37
+36
+35
+10
+16
+26
+34
+38
+37
+33
+30
+19
+23
+28
+32
+32
+30
+26
+23
+33
+31
+28
+24
+21
+18
+16
+16
+46
+39
+27
+16
+8
+6
+7
+9
+55
+44
+26
+10
+0
+-2
+1
+5
+14
+12
+7
+2
+-4
+-10
+-14
+-17
+7
+8
+8
+6
+2
+-4
+-10
+-14
+-3
+2
+9
+13
+12
+5
+-3
+-9
+-12
+-4
+8
+18
+19
+13
+3
+-4
+-16
+-7
+6
+17
+20
+15
+6
+0
+-14
+-7
+3
+11
+14
+12
+6
+2
+-9
+-6
+-1
+3
+6
+6
+4
+3
+-5
+-4
+-3
+-2
+-1
+1
+2
+2
+14
+-10
+-8
+3
+-2
+-2
+5
+0
+14
+-10
+-8
+3
+-2
+-2
+5
+0
+14
+-10
+-8
+3
+-2
+-2
+5
+0
+14
+-10
+-8
+3
+-2
+-2
+5
+0
+14
+-10
+-8
+3
+-2
+-2
+5
+0
+14
+-10
+-8
+3
+-2
+-2
+5
+0
+14
+-10
+-8
+3
+-2
+-2
+5
+0
+14
+-10
+-8
+3
+-2
+-2
+5
+0
+37
+43
+48
+48
+49
+60
+80
+96
+18
+24
+30
+33
+37
+49
+69
+85
+-3
+2
+8
+13
+19
+32
+49
+61
+-9
+-7
+-4
+-1
+5
+14
+24
+31
+5
+2
+0
+0
+3
+6
+7
+7
+26
+20
+15
+14
+16
+14
+9
+3
+43
+36
+30
+31
+36
+34
+26
+17
+50
+44
+39
+43
+50
+51
+42
+32
+50
+46
+41
+35
+31
+29
+30
+30
+48
+48
+47
+46
+44
+42
+41
+40
+39
+44
+50
+55
+57
+55
+52
+49
+17
+25
+37
+48
+53
+52
+48
+44
+-14
+-6
+8
+21
+28
+30
+27
+24
+-45
+-38
+-27
+-16
+-8
+-3
+-3
+-3
+-66
+-62
+-56
+-48
+-40
+-33
+-28
+-25
+-75
+-74
+-72
+-67
+-59
+-50
+-42
+-38
+15
+7
+5
+8
+5
+4
+17
+37
+8
+0
+-2
+0
+-2
+-3
+10
+30
+1
+-7
+-9
+-7
+-9
+-10
+3
+23
+0
+-8
+-10
+-8
+-10
+-12
+2
+21
+4
+-4
+-7
+-4
+-6
+-8
+6
+25
+6
+-2
+-4
+-2
+-4
+-5
+8
+28
+4
+-3
+-6
+-3
+-6
+-7
+7
+26
+1
+-7
+-9
+-7
+-9
+-10
+3
+22
+18
+-7
+-6
+4
+-3
+-4
+2
+-4
+18
+-7
+-6
+4
+-3
+-4
+2
+-4
+18
+-7
+-6
+4
+-3
+-4
+2
+-4
+18
+-7
+-6
+4
+-3
+-4
+2
+-4
+18
+-7
+-6
+4
+-3
+-4
+2
+-4
+18
+-7
+-6
+4
+-3
+-4
+2
+-4
+18
+-7
+-6
+4
+-3
+-4
+2
+-4
+18
+-7
+-6
+4
+-3
+-4
+2
+-4
+-13
+-16
+-13
+-6
+-8
+-13
+-4
+12
+-11
+-15
+-12
+-5
+-7
+-13
+-4
+11
+-9
+-13
+-10
+-4
+-7
+-12
+-4
+11
+-6
+-10
+-8
+-2
+-6
+-12
+-4
+11
+-3
+-7
+-5
+-1
+-5
+-12
+-5
+10
+0
+-4
+-3
+1
+-4
+-11
+-5
+10
+3
+-2
+-1
+2
+-3
+-11
+-5
+9
+4
+-1
+0
+3
+-3
+-11
+-5
+9
+16
+1
+-6
+0
+4
+-1
+-3
+1
+12
+-3
+-10
+-4
+0
+-5
+-7
+-3
+14
+-1
+-8
+-2
+2
+-3
+-5
+-1
+19
+4
+-3
+3
+7
+2
+0
+4
+15
+0
+-7
+0
+3
+-2
+-4
+1
+8
+-6
+-14
+-7
+-3
+-9
+-10
+-6
+16
+1
+-6
+0
+4
+-1
+-3
+1
+31
+16
+9
+15
+19
+14
+12
+16
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+3
+3
+3
+3
+3
+3
+3
+3
+-1
+-1
+-1
+-1
+-1
+-1
+-1
+-1
+-8
+-8
+-8
+-8
+-8
+-8
+-8
+-8
+0
+0
+0
+0
+0
+0
+0
+0
+14
+14
+14
+14
+14
+14
+14
+14
+6
+6
+6
+6
+6
+6
+6
+6
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+0
+0
+0
+0
+0
+0
+0
+0
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+14
+14
+14
+14
+14
+14
+14
+14
+-2
+-1
+2
+5
+8
+11
+14
+15
+-3
+-2
+0
+3
+6
+9
+11
+12
+0
+1
+3
+5
+8
+10
+12
+13
+2
+3
+4
+6
+7
+9
+10
+11
+-6
+-6
+-5
+-4
+-3
+-2
+-2
+-1
+-12
+-12
+-12
+-12
+-12
+-11
+-11
+-11
+2
+2
+2
+1
+1
+1
+1
+0
+23
+23
+23
+22
+22
+21
+21
+21
+-8
+-8
+-8
+-8
+-8
+-8
+-8
+-8
+2
+2
+2
+2
+2
+2
+2
+2
+1
+1
+1
+1
+1
+1
+1
+1
+0
+0
+0
+0
+0
+0
+0
+0
+2
+2
+2
+2
+2
+2
+2
+2
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-8
+-8
+-8
+-8
+-8
+-8
+-8
+-8
+22
+22
+22
+22
+22
+22
+22
+22
+0
+-1
+-2
+-3
+-5
+-6
+-7
+-7
+5
+4
+4
+2
+1
+0
+-1
+-2
+0
+-1
+-2
+-3
+-4
+-6
+-7
+-7
+1
+0
+-1
+-2
+-3
+-5
+-6
+-6
+8
+7
+6
+5
+3
+2
+1
+1
+-3
+-4
+-5
+-6
+-7
+-9
+-9
+-10
+-3
+-4
+-5
+-6
+-7
+-9
+-9
+-10
+21
+21
+20
+19
+17
+16
+15
+15
+-1
+-1
+-1
+-1
+-1
+-1
+-1
+-1
+7
+7
+7
+7
+7
+7
+7
+7
+1
+1
+1
+1
+1
+1
+1
+1
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-14
+-14
+-14
+-14
+-14
+-14
+-14
+-14
+-9
+-9
+-9
+-9
+-9
+-9
+-9
+-9
+22
+22
+22
+22
+22
+22
+22
+22
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+0
+0
+0
+0
+0
+0
+0
+0
+-1
+-1
+-1
+-1
+-1
+-1
+-1
+-1
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-1
+-1
+-1
+-1
+-1
+-1
+-1
+-1
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+20
+20
+20
+20
+20
+20
+20
+20
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+2
+2
+2
+2
+2
+2
+2
+2
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+3
+3
+3
+3
+3
+3
+3
+3
+-4
+-4
+-4
+-4
+-4
+-4
+-4
+-4
+0
+0
+0
+0
+0
+0
+0
+0
+27
+27
+27
+27
+27
+27
+27
+27
+-4
+-4
+-4
+-4
+-4
+-4
+-4
+-4
+0
+0
+0
+0
+0
+0
+0
+0
+-7
+-7
+-7
+-7
+-7
+-7
+-7
+-7
+-7
+-7
+-7
+-7
+-7
+-7
+-7
+-7
+1
+1
+1
+1
+1
+1
+1
+1
+-6
+-6
+-6
+-6
+-6
+-6
+-6
+-6
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+25
+25
+25
+25
+25
+25
+25
+25
+-8
+-8
+-8
+-8
+-8
+-8
+-8
+-8
+1
+1
+1
+1
+1
+1
+1
+1
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-4
+-4
+-4
+-4
+-4
+-4
+-4
+-4
+-1
+-1
+-1
+-1
+-1
+-1
+-1
+-1
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+29
+29
+29
+29
+29
+29
+29
+29
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+2
+2
+2
+2
+2
+2
+2
+2
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+3
+3
+3
+3
+3
+3
+3
+3
+-4
+-4
+-4
+-4
+-4
+-4
+-4
+-4
+0
+0
+0
+0
+0
+0
+0
+0
+27
+27
+27
+27
+27
+27
+27
+27
+0
+0
+0
+0
+0
+0
+0
+0
+2
+2
+2
+2
+2
+2
+2
+2
+-6
+-6
+-6
+-6
+-6
+-6
+-6
+-6
+-7
+-7
+-7
+-7
+-7
+-7
+-7
+-7
+3
+3
+3
+3
+3
+3
+3
+3
+-1
+-1
+-1
+-1
+-1
+-1
+-1
+-1
+6
+6
+6
+6
+6
+6
+6
+6
+35
+35
+35
+35
+35
+35
+35
+35
+-9
+-7
+-5
+-3
+-3
+-5
+-7
+-9
+0
+2
+4
+6
+6
+4
+2
+0
+-4
+-2
+1
+3
+3
+1
+-2
+-4
+-5
+-4
+-1
+1
+1
+-1
+-4
+-5
+-2
+0
+2
+4
+4
+2
+0
+-2
+-12
+-10
+-7
+-5
+-5
+-7
+-10
+-12
+-5
+-3
+0
+2
+2
+0
+-3
+-5
+27
+29
+32
+34
+34
+32
+29
+27
+-15
+-13
+-10
+-6
+-2
+2
+5
+6
+-5
+-3
+0
+3
+8
+11
+14
+16
+-8
+-6
+-3
+1
+5
+9
+12
+13
+-10
+-8
+-5
+-2
+3
+6
+9
+11
+-10
+-8
+-5
+-1
+3
+7
+10
+11
+-23
+-22
+-19
+-15
+-11
+-7
+-4
+-3
+-21
+-19
+-16
+-13
+-8
+-5
+-2
+0
+8
+9
+12
+16
+20
+24
+27
+29
+6
+5
+3
+0
+-4
+-7
+-9
+-10
+14
+13
+11
+8
+5
+3
+1
+-1
+10
+8
+7
+5
+2
+0
+-2
+-3
+6
+5
+4
+3
+1
+-1
+-2
+-3
+7
+7
+6
+5
+4
+3
+3
+2
+-4
+-4
+-4
+-4
+-5
+-5
+-5
+-5
+2
+2
+2
+3
+3
+3
+3
+3
+33
+34
+34
+34
+35
+35
+36
+36
+-1
+-2
+-2
+-3
+-4
+-5
+-5
+-5
+9
+8
+8
+7
+5
+4
+4
+3
+8
+7
+6
+4
+3
+1
+0
+-1
+9
+8
+7
+4
+2
+0
+-2
+-3
+15
+14
+12
+9
+6
+3
+1
+0
+9
+8
+5
+1
+-2
+-6
+-9
+-10
+19
+17
+14
+10
+6
+1
+-2
+-3
+52
+50
+47
+42
+38
+33
+30
+28
+1
+1
+1
+1
+1
+1
+1
+1
+10
+10
+10
+10
+10
+10
+10
+10
+5
+5
+5
+5
+5
+5
+5
+5
+2
+2
+2
+2
+2
+2
+2
+2
+4
+4
+4
+4
+4
+4
+4
+4
+-7
+-7
+-7
+-7
+-7
+-7
+-7
+-7
+0
+0
+0
+0
+0
+0
+0
+0
+31
+31
+31
+31
+31
+31
+31
+31
+0
+0
+2
+3
+5
+7
+9
+9
+8
+8
+9
+11
+13
+14
+15
+16
+3
+3
+4
+5
+6
+7
+8
+8
+-1
+-1
+-1
+0
+0
+0
+1
+1
+0
+0
+-1
+-1
+-1
+-2
+-2
+-2
+-12
+-12
+-13
+-14
+-15
+-16
+-17
+-17
+-6
+-7
+-8
+-9
+-11
+-12
+-14
+-14
+25
+24
+23
+21
+19
+18
+16
+15
+5
+3
+0
+-5
+-9
+-14
+-17
+-19
+12
+10
+7
+3
+-1
+-5
+-8
+-10
+5
+3
+1
+-3
+-7
+-10
+-13
+-14
+-1
+-2
+-4
+-7
+-10
+-13
+-15
+-17
+-3
+-4
+-5
+-7
+-10
+-12
+-14
+-14
+-16
+-17
+-18
+-20
+-21
+-23
+-24
+-25
+-12
+-13
+-14
+-14
+-16
+-17
+-18
+-18
+18
+17
+17
+16
+15
+15
+14
+14
+-17
+-18
+-19
+-20
+-18
+-15
+-11
+-8
+-10
+-11
+-12
+-12
+-10
+-6
+-1
+1
+-17
+-18
+-18
+-17
+-13
+-8
+-3
+0
+-22
+-22
+-21
+-18
+-14
+-7
+-1
+2
+-20
+-19
+-17
+-13
+-7
+1
+8
+12
+-28
+-27
+-24
+-19
+-11
+-2
+6
+11
+-19
+-17
+-14
+-8
+1
+11
+20
+25
+15
+16
+20
+27
+36
+47
+56
+61
+-4
+-5
+-5
+-6
+-7
+-8
+-8
+-8
+7
+6
+6
+5
+4
+2
+2
+1
+8
+7
+6
+4
+3
+1
+0
+-1
+12
+11
+9
+7
+5
+2
+1
+0
+21
+20
+17
+15
+11
+8
+6
+5
+17
+15
+13
+9
+5
+2
+-1
+-2
+29
+27
+24
+20
+15
+11
+8
+7
+63
+61
+58
+53
+49
+44
+41
+39
+-12
+-12
+-11
+-9
+-8
+-7
+-6
+-5
+-1
+0
+1
+2
+3
+5
+6
+6
+-1
+0
+1
+2
+4
+5
+6
+6
+1
+1
+2
+4
+5
+6
+7
+8
+5
+6
+7
+8
+10
+11
+12
+12
+-5
+-4
+-3
+-2
+0
+1
+2
+2
+1
+1
+2
+4
+5
+6
+7
+8
+31
+32
+33
+34
+35
+37
+38
+38
+7
+5
+2
+-2
+-7
+-11
+-14
+-16
+15
+13
+10
+6
+2
+-2
+-5
+-7
+9
+7
+5
+1
+-2
+-6
+-9
+-10
+4
+3
+1
+-2
+-5
+-8
+-10
+-11
+4
+3
+2
+-1
+-3
+-5
+-7
+-8
+-8
+-9
+-10
+-12
+-13
+-15
+-16
+-17
+-3
+-4
+-5
+-6
+-7
+-8
+-9
+-9
+27
+27
+26
+26
+25
+24
+23
+23
+-29
+-29
+-29
+-25
+-16
+-4
+7
+14
+-16
+-17
+-17
+-14
+-6
+5
+16
+22
+-14
+-16
+-16
+-15
+-9
+1
+10
+16
+-10
+-12
+-14
+-15
+-11
+-3
+5
+10
+-2
+-5
+-9
+-12
+-10
+-5
+2
+6
+-9
+-13
+-19
+-23
+-24
+-20
+-15
+-12
+-2
+-6
+-13
+-19
+-21
+-19
+-15
+-12
+30
+25
+17
+11
+8
+9
+12
+15
+22
+22
+22
+19
+11
+0
+-11
+-18
+30
+29
+26
+21
+13
+5
+-3
+-7
+25
+20
+14
+6
+0
+-4
+-6
+-7
+19
+13
+4
+-4
+-9
+-10
+-8
+-6
+15
+10
+2
+-5
+-8
+-7
+-4
+-2
+-2
+-4
+-8
+-12
+-14
+-14
+-13
+-12
+-2
+-1
+1
+1
+0
+-2
+-5
+-7
+25
+29
+34
+38
+37
+33
+27
+23
+-23
+-18
+-9
+-1
+4
+5
+3
+0
+-7
+-1
+7
+15
+20
+20
+18
+15
+1
+6
+15
+22
+26
+26
+23
+21
+10
+15
+23
+29
+32
+31
+28
+26
+18
+22
+29
+35
+38
+36
+32
+29
+7
+11
+18
+23
+25
+23
+18
+15
+9
+13
+20
+25
+26
+23
+18
+15
+37
+41
+47
+52
+53
+50
+45
+41
+-15
+-17
+-19
+-21
+-21
+-19
+-17
+-15
+-1
+-3
+-6
+-8
+-8
+-6
+-3
+-1
+1
+-1
+-3
+-5
+-5
+-3
+-1
+1
+5
+3
+0
+-2
+-2
+0
+3
+5
+9
+7
+5
+3
+3
+5
+7
+9
+-3
+-5
+-7
+-9
+-9
+-7
+-5
+-3
+0
+-2
+-4
+-6
+-6
+-4
+-2
+0
+29
+27
+24
+22
+22
+24
+27
+29
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-4
+-4
+-4
+-4
+-4
+-4
+-4
+-4
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+0
+0
+0
+0
+0
+0
+0
+0
+6
+6
+6
+6
+6
+6
+6
+6
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+3
+3
+3
+3
+3
+3
+3
+3
+34
+34
+34
+34
+34
+34
+34
+34
+-2
+-2
+-3
+-5
+-6
+-7
+-8
+-9
+-2
+-3
+-4
+-5
+-6
+-8
+-9
+-9
+7
+7
+6
+5
+3
+2
+1
+1
+-4
+-4
+-5
+-6
+-8
+-9
+-10
+-10
+0
+-1
+-2
+-3
+-5
+-6
+-7
+-7
+0
+-1
+-2
+-3
+-5
+-6
+-7
+-7
+-6
+-7
+-8
+-9
+-11
+-12
+-13
+-13
+35
+34
+33
+32
+31
+29
+29
+28
+-15
+-15
+-14
+-12
+-11
+-10
+-9
+-8
+-19
+-19
+-18
+-17
+-15
+-14
+-13
+-12
+-7
+-6
+-5
+-4
+-3
+-2
+0
+0
+-13
+-13
+-12
+-11
+-9
+-8
+-7
+-6
+-17
+-16
+-15
+-14
+-12
+-11
+-10
+-10
+-28
+-27
+-26
+-25
+-23
+-22
+-21
+-21
+-31
+-31
+-30
+-28
+-27
+-26
+-25
+-24
+22
+23
+24
+25
+26
+27
+29
+29
+-24
+-19
+-9
+-1
+5
+6
+4
+2
+-10
+-5
+4
+12
+17
+18
+16
+13
+-5
+0
+8
+15
+18
+18
+15
+12
+0
+5
+12
+18
+20
+18
+14
+11
+7
+11
+17
+22
+22
+19
+14
+10
+-2
+1
+6
+9
+8
+4
+-2
+-6
+2
+5
+10
+12
+10
+4
+-3
+-7
+32
+35
+38
+40
+37
+31
+24
+19
+10
+8
+4
+1
+-1
+0
+2
+3
+16
+14
+10
+7
+6
+6
+8
+9
+8
+5
+2
+-1
+-3
+-2
+0
+1
+0
+-3
+-6
+-9
+-11
+-10
+-8
+-7
+-4
+-6
+-10
+-13
+-14
+-14
+-12
+-11
+-20
+-22
+-26
+-29
+-30
+-30
+-28
+-27
+-17
+-20
+-23
+-26
+-28
+-27
+-25
+-24
+12
+10
+6
+3
+1
+2
+4
+5
+-2
+-5
+-9
+-14
+-16
+-17
+-16
+-15
+4
+1
+-2
+-5
+-6
+-6
+-4
+-2
+-3
+-4
+-6
+-6
+-5
+-2
+2
+5
+-5
+-5
+-4
+-1
+4
+10
+16
+20
+-4
+-2
+1
+8
+17
+26
+35
+41
+-21
+-18
+-12
+-3
+10
+23
+34
+41
+-28
+-24
+-16
+-4
+11
+27
+40
+48
+-7
+-3
+6
+20
+36
+53
+68
+76
+-6
+-7
+-8
+-11
+-13
+-15
+-17
+-18
+-6
+-8
+-10
+-13
+-17
+-20
+-22
+-24
+3
+1
+-3
+-7
+-12
+-17
+-20
+-22
+19
+16
+11
+5
+-2
+-9
+-14
+-17
+29
+26
+19
+10
+1
+-8
+-14
+-18
+38
+33
+25
+14
+3
+-8
+-16
+-20
+58
+53
+43
+31
+18
+6
+-3
+-8
+80
+74
+64
+51
+38
+25
+15
+9
+-27
+-24
+-17
+-7
+2
+12
+19
+22
+-17
+-13
+-7
+1
+10
+19
+25
+29
+-17
+-14
+-9
+-2
+5
+12
+17
+20
+-15
+-13
+-9
+-4
+1
+6
+10
+12
+-7
+-6
+-4
+-1
+2
+4
+7
+8
+-13
+-12
+-12
+-11
+-10
+-9
+-9
+-8
+-2
+-3
+-3
+-4
+-5
+-5
+-6
+-6
+31
+31
+29
+28
+26
+25
+24
+23
+4
+4
+5
+5
+6
+7
+8
+8
+13
+13
+14
+15
+16
+17
+18
+18
+8
+9
+10
+12
+14
+15
+16
+17
+5
+5
+7
+9
+12
+14
+16
+16
+3
+4
+6
+9
+12
+15
+18
+19
+-13
+-11
+-9
+-5
+-1
+2
+5
+7
+-12
+-10
+-7
+-3
+2
+6
+9
+10
+17
+18
+22
+26
+31
+35
+38
+40
+3
+1
+-1
+-5
+-9
+-12
+-15
+-16
+13
+11
+9
+6
+3
+0
+-2
+-3
+10
+9
+8
+6
+4
+2
+0
+-1
+8
+8
+8
+7
+6
+5
+5
+5
+9
+9
+10
+11
+11
+12
+12
+13
+-5
+-4
+-2
+0
+2
+4
+5
+6
+-2
+0
+2
+5
+8
+11
+13
+14
+27
+29
+31
+35
+39
+42
+45
+46
+-18
+-17
+-16
+-14
+-12
+-10
+-9
+-8
+-7
+-7
+-5
+-4
+-2
+-1
+1
+1
+-7
+-7
+-6
+-5
+-4
+-3
+-2
+-2
+-5
+-4
+-4
+-4
+-3
+-3
+-3
+-3
+3
+3
+3
+2
+2
+2
+1
+1
+-2
+-2
+-3
+-4
+-5
+-6
+-7
+-7
+9
+8
+7
+6
+4
+2
+1
+1
+42
+42
+40
+39
+37
+35
+33
+33
+-14
+-15
+-18
+-22
+-26
+-30
+-33
+-34
+-5
+-6
+-9
+-13
+-17
+-21
+-24
+-26
+-8
+-10
+-13
+-17
+-21
+-25
+-27
+-29
+-10
+-12
+-14
+-18
+-22
+-26
+-29
+-31
+-7
+-8
+-11
+-15
+-19
+-23
+-26
+-28
+-16
+-18
+-21
+-24
+-29
+-32
+-35
+-37
+-9
+-11
+-13
+-17
+-21
+-25
+-28
+-30
+23
+21
+19
+15
+11
+7
+4
+2
+-37
+-31
+-21
+-13
+-7
+-6
+-7
+-9
+-27
+-21
+-12
+-3
+3
+4
+3
+1
+-30
+-24
+-14
+-6
+0
+1
+0
+-2
+-32
+-26
+-17
+-8
+-2
+-1
+-2
+-4
+-32
+-26
+-16
+-7
+-2
+-1
+-2
+-4
+-45
+-40
+-30
+-21
+-16
+-14
+-16
+-18
+-43
+-37
+-28
+-19
+-13
+-12
+-13
+-15
+-14
+-8
+1
+10
+16
+17
+15
+14
+-6
+-4
+1
+9
+18
+29
+38
+43
+-8
+-5
+1
+9
+18
+28
+36
+41
+-1
+2
+9
+18
+28
+37
+43
+47
+7
+12
+21
+31
+41
+48
+53
+56
+5
+11
+22
+34
+43
+50
+53
+54
+-2
+6
+18
+31
+41
+46
+47
+47
+5
+13
+27
+41
+50
+54
+54
+53
+18
+27
+42
+56
+66
+69
+69
+67
+18
+7
+-7
+-13
+-10
+-5
+-2
+-2
+26
+14
+2
+-4
+-1
+5
+9
+9
+23
+12
+0
+-4
+0
+7
+11
+12
+26
+16
+5
+2
+7
+16
+21
+22
+33
+24
+14
+12
+19
+29
+35
+37
+21
+12
+3
+3
+11
+22
+29
+32
+19
+10
+2
+2
+12
+24
+32
+34
+42
+33
+25
+26
+37
+49
+57
+60
+3
+1
+-2
+-6
+-7
+-6
+-4
+-3
+14
+11
+7
+2
+-1
+-2
+-1
+0
+17
+13
+7
+0
+-6
+-9
+-10
+-10
+26
+21
+13
+4
+-3
+-8
+-11
+-12
+37
+32
+24
+14
+6
+0
+-3
+-4
+28
+23
+15
+6
+-2
+-7
+-9
+-10
+26
+22
+15
+7
+1
+-3
+-4
+-5
+49
+45
+39
+32
+27
+24
+24
+23
+-74
+-73
+-71
+-70
+-70
+-71
+-73
+-74
+-74
+-72
+-69
+-67
+-67
+-68
+-70
+-72
+-80
+-77
+-72
+-69
+-68
+-69
+-71
+-73
+-77
+-73
+-67
+-61
+-59
+-60
+-63
+-66
+-74
+-69
+-60
+-53
+-49
+-50
+-54
+-57
+-88
+-81
+-71
+-61
+-57
+-58
+-62
+-65
+-84
+-76
+-64
+-54
+-48
+-49
+-54
+-57
+-54
+-46
+-33
+-21
+-16
+-16
+-21
+-25
+-78
+-80
+-78
+-73
+-66
+-63
+-65
+-69
+-73
+-73
+-71
+-65
+-57
+-53
+-55
+-58
+-78
+-79
+-75
+-68
+-58
+-53
+-53
+-56
+-80
+-80
+-76
+-66
+-56
+-49
+-49
+-51
+-73
+-72
+-68
+-58
+-47
+-40
+-39
+-41
+-75
+-74
+-71
+-61
+-50
+-43
+-43
+-45
+-65
+-65
+-62
+-53
+-43
+-36
+-36
+-39
+-37
+-37
+-34
+-26
+-16
+-11
+-11
+-14
+15
+10
+2
+-5
+-9
+-8
+-5
+-3
+19
+14
+6
+-2
+-5
+-5
+-3
+0
+12
+7
+-2
+-9
+-14
+-14
+-12
+-10
+13
+7
+-1
+-10
+-15
+-16
+-14
+-12
+22
+16
+6
+-3
+-9
+-10
+-9
+-8
+15
+9
+-1
+-11
+-18
+-20
+-19
+-18
+19
+13
+2
+-8
+-15
+-18
+-18
+-17
+46
+40
+29
+19
+11
+8
+8
+9
+4
+4
+3
+-1
+-9
+-21
+-32
+-38
+6
+6
+5
+1
+-7
+-17
+-26
+-32
+-5
+-6
+-7
+-11
+-17
+-24
+-31
+-36
+-11
+-12
+-13
+-16
+-20
+-24
+-28
+-30
+-9
+-10
+-12
+-14
+-16
+-17
+-17
+-17
+-22
+-24
+-26
+-28
+-27
+-25
+-21
+-19
+-22
+-25
+-27
+-28
+-26
+-21
+-16
+-12
+2
+0
+-3
+-4
+-1
+6
+13
+17
+-31
+-31
+-30
+-30
+-29
+-29
+-28
+-28
+-39
+-39
+-38
+-38
+-38
+-38
+-37
+-37
+-44
+-44
+-44
+-45
+-45
+-45
+-45
+-45
+-47
+-48
+-48
+-49
+-50
+-51
+-52
+-52
+-53
+-54
+-55
+-57
+-58
+-60
+-61
+-62
+-49
+-50
+-52
+-54
+-56
+-59
+-60
+-61
+-22
+-23
+-25
+-28
+-31
+-34
+-36
+-37
+8
+7
+5
+2
+-1
+-5
+-7
+-8
+-32
+-37
+-40
+-35
+-23
+-11
+-4
+-3
+-39
+-44
+-46
+-40
+-27
+-15
+-9
+-7
+-44
+-48
+-48
+-40
+-26
+-14
+-8
+-7
+-50
+-51
+-48
+-38
+-22
+-10
+-5
+-5
+-59
+-59
+-53
+-39
+-23
+-10
+-7
+-7
+-61
+-58
+-50
+-34
+-15
+-3
+0
+-2
+-39
+-36
+-25
+-7
+12
+25
+27
+25
+-12
+-8
+4
+23
+43
+55
+57
+55
+14
+16
+19
+21
+22
+21
+18
+17
+8
+10
+13
+15
+15
+13
+11
+9
+7
+9
+12
+13
+13
+11
+8
+6
+11
+13
+15
+16
+15
+12
+9
+6
+8
+9
+11
+11
+9
+6
+2
+-1
+4
+5
+6
+5
+3
+-1
+-6
+-9
+14
+15
+16
+15
+12
+7
+2
+-1
+31
+32
+32
+31
+28
+23
+18
+15
+8
+11
+16
+18
+14
+4
+-6
+-13
+15
+17
+21
+21
+16
+7
+-4
+-11
+10
+12
+13
+12
+6
+-4
+-13
+-19
+10
+10
+10
+7
+1
+-7
+-15
+-20
+11
+10
+9
+6
+2
+-3
+-8
+-11
+-8
+-9
+-10
+-12
+-13
+-15
+-16
+-16
+-17
+-18
+-18
+-18
+-16
+-14
+-12
+-10
+2
+1
+2
+3
+7
+11
+16
+19
+-27
+-27
+-26
+-25
+-23
+-22
+-21
+-21
+-17
+-16
+-14
+-12
+-8
+-6
+-4
+-3
+-27
+-25
+-21
+-16
+-10
+-5
+-2
+0
+-34
+-31
+-26
+-19
+-12
+-5
+1
+3
+-22
+-19
+-13
+-6
+3
+10
+16
+19
+-15
+-12
+-7
+0
+8
+15
+20
+23
+-4
+-2
+2
+8
+14
+20
+24
+27
+20
+22
+25
+30
+35
+40
+44
+46
+1
+-3
+-9
+-14
+-14
+-11
+-6
+-3
+-3
+-7
+-13
+-18
+-19
+-17
+-12
+-8
+1
+-4
+-10
+-16
+-17
+-15
+-11
+-7
+10
+5
+-2
+-8
+-11
+-9
+-5
+-2
+12
+7
+-1
+-7
+-11
+-10
+-6
+-4
+13
+8
+0
+-8
+-12
+-11
+-9
+-6
+27
+22
+13
+6
+1
+1
+3
+5
+46
+41
+32
+24
+19
+19
+21
+23
+8
+17
+30
+37
+32
+17
+-2
+-15
+17
+26
+36
+42
+36
+20
+0
+-13
+18
+24
+32
+35
+27
+10
+-9
+-22
+23
+28
+33
+33
+23
+7
+-12
+-24
+31
+34
+37
+36
+27
+11
+-5
+-16
+18
+20
+23
+21
+13
+0
+-13
+-22
+13
+16
+18
+17
+11
+1
+-9
+-17
+35
+37
+40
+40
+35
+27
+18
+12
+63
+56
+45
+33
+25
+21
+21
+21
+66
+59
+48
+36
+28
+24
+24
+24
+57
+50
+39
+27
+19
+15
+15
+15
+57
+50
+38
+27
+19
+15
+14
+15
+66
+59
+48
+37
+28
+24
+24
+25
+62
+55
+44
+32
+24
+20
+20
+20
+69
+62
+51
+40
+31
+27
+27
+28
+99
+92
+81
+69
+61
+57
+57
+57
+1
+1
+0
+0
+-1
+-1
+-1
+-2
+-1
+-1
+-2
+-2
+-2
+-2
+-2
+-2
+4
+4
+4
+5
+5
+5
+6
+6
+12
+12
+13
+14
+15
+16
+17
+17
+9
+10
+11
+12
+14
+16
+17
+18
+1
+2
+4
+6
+9
+11
+13
+14
+7
+8
+10
+13
+16
+18
+21
+22
+20
+21
+23
+27
+30
+33
+35
+36
+0
+-3
+-8
+-12
+-15
+-16
+-15
+-14
+-4
+-7
+-12
+-16
+-19
+-19
+-19
+-18
+0
+-3
+-7
+-12
+-14
+-15
+-14
+-13
+10
+7
+2
+-2
+-5
+-5
+-5
+-4
+13
+10
+5
+1
+-2
+-2
+-2
+-1
+15
+12
+7
+3
+0
+-1
+0
+1
+30
+27
+22
+18
+15
+14
+15
+16
+49
+46
+42
+37
+34
+34
+35
+35
+3
+3
+2
+1
+-1
+-2
+-3
+-3
+-2
+-2
+-3
+-5
+-6
+-7
+-8
+-9
+0
+-1
+-2
+-3
+-5
+-6
+-7
+-7
+5
+5
+4
+2
+1
+0
+-1
+-2
+4
+4
+3
+1
+0
+-1
+-2
+-3
+2
+2
+1
+-1
+-2
+-3
+-4
+-5
+14
+14
+13
+12
+10
+9
+8
+7
+32
+32
+31
+29
+28
+27
+26
+25
+-6
+-7
+-9
+-12
+-14
+-17
+-19
+-20
+2
+1
+-1
+-4
+-7
+-9
+-11
+-12
+-4
+-5
+-7
+-9
+-12
+-15
+-16
+-18
+-8
+-9
+-11
+-13
+-16
+-19
+-21
+-22
+-8
+-9
+-11
+-13
+-16
+-18
+-20
+-21
+-20
+-21
+-22
+-25
+-28
+-30
+-32
+-33
+-14
+-15
+-17
+-20
+-23
+-25
+-27
+-28
+17
+15
+14
+11
+8
+6
+4
+3
+-1
+-2
+-3
+-3
+-2
+1
+4
+6
+-7
+-7
+-7
+-7
+-6
+-3
+-1
+0
+-10
+-9
+-8
+-7
+-5
+-4
+-3
+-3
+-13
+-11
+-8
+-6
+-5
+-5
+-5
+-6
+-23
+-20
+-15
+-11
+-10
+-11
+-14
+-16
+-27
+-22
+-16
+-11
+-9
+-12
+-16
+-20
+-8
+-3
+5
+11
+13
+9
+3
+-1
+17
+23
+31
+38
+39
+35
+29
+24
+3
+1
+-3
+-7
+-9
+-9
+-7
+-6
+6
+4
+0
+-4
+-5
+-5
+-3
+-2
+-3
+-6
+-9
+-12
+-13
+-12
+-10
+-9
+-6
+-8
+-11
+-13
+-14
+-12
+-10
+-8
+-1
+-3
+-5
+-7
+-6
+-4
+-1
+1
+-11
+-13
+-15
+-16
+-15
+-12
+-8
+-6
+-10
+-11
+-13
+-13
+-12
+-8
+-5
+-2
+16
+15
+13
+13
+15
+19
+23
+25
+4
+2
+0
+-3
+-6
+-9
+-11
+-13
+11
+10
+8
+5
+2
+0
+-2
+-4
+6
+4
+3
+1
+-2
+-4
+-6
+-7
+1
+0
+-1
+-3
+-4
+-6
+-7
+-8
+1
+0
+0
+-1
+-2
+-3
+-4
+-4
+-12
+-12
+-12
+-12
+-13
+-13
+-13
+-13
+-7
+-7
+-7
+-6
+-6
+-6
+-6
+-6
+24
+24
+24
+25
+25
+26
+26
+27
+-8
+-8
+-8
+-8
+-8
+-8
+-8
+-8
+-4
+-4
+-4
+-4
+-4
+-4
+-4
+-4
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-6
+-6
+-6
+-6
+-6
+-6
+-6
+-6
+21
+21
+21
+21
+21
+21
+21
+21
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-8
+-8
+-8
+-8
+-8
+-8
+-8
+-8
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-6
+-6
+-6
+-6
+-6
+-6
+-6
+-6
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+20
+20
+20
+20
+20
+20
+20
+20
+-8
+-7
+-5
+-4
+-6
+-9
+-12
+-15
+-4
+-3
+-1
+0
+-1
+-5
+-8
+-11
+-11
+-10
+-8
+-7
+-9
+-12
+-15
+-18
+-11
+-9
+-7
+-7
+-8
+-11
+-15
+-18
+-2
+-1
+1
+1
+0
+-3
+-7
+-9
+-10
+-8
+-7
+-6
+-7
+-11
+-14
+-17
+-6
+-5
+-3
+-2
+-4
+-7
+-11
+-13
+21
+22
+24
+25
+23
+20
+17
+14
+-6
+-6
+-6
+-7
+-7
+-8
+-8
+-8
+1
+1
+1
+1
+1
+0
+0
+0
+-5
+-5
+-5
+-5
+-4
+-4
+-4
+-4
+-11
+-11
+-10
+-9
+-8
+-7
+-7
+-6
+-13
+-12
+-11
+-9
+-8
+-6
+-5
+-4
+-27
+-26
+-24
+-22
+-19
+-17
+-15
+-14
+-23
+-21
+-19
+-17
+-14
+-11
+-9
+-8
+7
+9
+11
+14
+17
+20
+23
+24
+-8
+-8
+-8
+-8
+-8
+-8
+-8
+-8
+-4
+-4
+-4
+-4
+-4
+-4
+-4
+-4
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-6
+-6
+-6
+-6
+-6
+-6
+-6
+-6
+21
+21
+21
+21
+21
+21
+21
+21
+-17
+-17
+-15
+-14
+-13
+-12
+-11
+-10
+-12
+-12
+-11
+-10
+-8
+-7
+-6
+-5
+-19
+-18
+-17
+-16
+-14
+-13
+-12
+-12
+-17
+-16
+-15
+-14
+-13
+-12
+-10
+-10
+-7
+-7
+-6
+-5
+-3
+-2
+-1
+0
+-14
+-13
+-12
+-11
+-9
+-8
+-7
+-7
+-9
+-8
+-7
+-6
+-5
+-3
+-2
+-2
+19
+19
+20
+22
+23
+24
+25
+26
+0
+0
+0
+-1
+-2
+-4
+-6
+-6
+2
+3
+3
+3
+1
+-1
+-3
+-5
+-8
+-7
+-5
+-5
+-6
+-9
+-13
+-15
+-11
+-8
+-4
+-3
+-4
+-8
+-14
+-18
+-3
+1
+6
+9
+8
+2
+-5
+-10
+-10
+-4
+3
+7
+6
+-1
+-10
+-17
+-4
+2
+11
+16
+15
+7
+-4
+-11
+24
+31
+41
+47
+45
+37
+25
+18
+-2
+-4
+-8
+-11
+-12
+-11
+-9
+-7
+-2
+-5
+-7
+-10
+-10
+-9
+-6
+-5
+-17
+-19
+-21
+-22
+-21
+-19
+-16
+-14
+-24
+-26
+-27
+-27
+-25
+-21
+-17
+-14
+-23
+-23
+-24
+-22
+-19
+-13
+-8
+-5
+-34
+-34
+-34
+-31
+-26
+-19
+-13
+-10
+-33
+-32
+-31
+-27
+-21
+-14
+-7
+-3
+-6
+-6
+-4
+1
+7
+15
+22
+27
+17
+16
+16
+15
+14
+13
+12
+12
+5
+5
+5
+6
+6
+7
+7
+7
+-3
+-2
+0
+2
+4
+6
+8
+9
+-5
+-4
+-1
+2
+6
+9
+12
+13
+-9
+-8
+-5
+-2
+2
+6
+8
+10
+-8
+-8
+-6
+-4
+-1
+1
+3
+3
+9
+9
+10
+10
+11
+11
+11
+11
+31
+31
+30
+29
+28
+28
+27
+27
+-4
+-8
+-13
+-17
+-17
+-13
+-8
+-4
+1
+-3
+-8
+-12
+-12
+-8
+-3
+1
+-5
+-9
+-15
+-18
+-18
+-15
+-9
+-5
+-6
+-9
+-15
+-19
+-19
+-15
+-9
+-6
+0
+-4
+-9
+-13
+-13
+-9
+-4
+0
+-12
+-16
+-21
+-25
+-25
+-21
+-16
+-12
+-13
+-17
+-22
+-26
+-26
+-22
+-17
+-13
+11
+7
+2
+-2
+-2
+2
+7
+11
+-1
+-2
+-2
+-4
+-5
+-6
+-7
+-8
+4
+3
+3
+1
+0
+-1
+-2
+-3
+-2
+-3
+-4
+-5
+-6
+-8
+-9
+-9
+-3
+-3
+-4
+-5
+-7
+-8
+-9
+-9
+3
+2
+1
+0
+-1
+-3
+-4
+-4
+-9
+-10
+-11
+-12
+-13
+-15
+-15
+-16
+-10
+-11
+-12
+-13
+-14
+-16
+-17
+-17
+14
+13
+12
+11
+10
+8
+8
+7
+-16
+-17
+-19
+-23
+-26
+-29
+-31
+-32
+-8
+-9
+-11
+-14
+-17
+-20
+-22
+-23
+-10
+-11
+-13
+-15
+-18
+-20
+-22
+-23
+-7
+-7
+-8
+-10
+-12
+-13
+-15
+-15
+1
+1
+0
+-1
+-2
+-3
+-3
+-4
+-11
+-11
+-11
+-11
+-11
+-12
+-12
+-12
+-13
+-13
+-12
+-12
+-12
+-12
+-12
+-11
+10
+11
+11
+11
+12
+12
+13
+13
+-15
+-10
+-2
+4
+8
+7
+4
+1
+-3
+1
+9
+14
+16
+15
+11
+8
+1
+5
+11
+15
+16
+13
+8
+4
+7
+11
+16
+20
+20
+17
+11
+7
+12
+16
+22
+27
+28
+24
+19
+16
+-8
+-3
+5
+11
+14
+13
+10
+7
+-19
+-14
+-4
+5
+10
+11
+9
+8
+-3
+4
+14
+24
+32
+34
+34
+33
+-13
+-12
+-10
+-7
+-5
+-2
+0
+1
+-22
+-21
+-19
+-16
+-13
+-11
+-9
+-8
+-22
+-21
+-19
+-17
+-14
+-11
+-9
+-8
+-14
+-13
+-11
+-8
+-5
+-3
+-1
+0
+-11
+-10
+-8
+-5
+-3
+0
+2
+3
+-14
+-13
+-11
+-9
+-6
+-3
+-1
+0
+-9
+-8
+-6
+-4
+-1
+1
+3
+4
+1
+2
+4
+7
+9
+12
+14
+15
+8
+4
+6
+10
+3
+-8
+-6
+6
+1
+-3
+0
+4
+-3
+-14
+-11
+0
+-1
+-5
+-2
+3
+-3
+-14
+-11
+1
+0
+-3
+0
+6
+0
+-10
+-6
+6
+-6
+-8
+-4
+2
+-3
+-12
+-9
+4
+-12
+-14
+-10
+-3
+-7
+-16
+-12
+1
+-3
+-5
+-1
+7
+3
+-5
+0
+13
+13
+11
+16
+23
+20
+12
+17
+30
+-2
+-3
+-4
+-5
+-7
+-8
+-9
+-9
+-13
+-14
+-15
+-16
+-18
+-19
+-20
+-20
+1
+0
+0
+-2
+-3
+-4
+-5
+-6
+-2
+-3
+-3
+-5
+-6
+-7
+-8
+-9
+6
+5
+4
+3
+2
+0
+0
+-1
+18
+17
+16
+15
+14
+12
+11
+11
+0
+0
+-1
+-2
+-4
+-5
+-6
+-7
+4
+4
+3
+1
+0
+-1
+-2
+-3
+-13
+7
+36
+56
+53
+30
+-3
+-25
+-11
+5
+29
+45
+44
+26
+1
+-16
+-8
+3
+18
+29
+29
+20
+7
+-3
+-4
+0
+5
+10
+13
+12
+10
+8
+0
+-2
+-4
+-5
+-2
+3
+8
+12
+3
+-2
+-9
+-14
+-13
+-6
+2
+8
+5
+-1
+-11
+-18
+-19
+-14
+-5
+1
+6
+-1
+-11
+-19
+-21
+-18
+-11
+-5
+-5
+-5
+-4
+-3
+-2
+-2
+-2
+-3
+0
+-1
+-3
+-4
+-4
+-2
+0
+1
+8
+4
+-1
+-5
+-5
+-2
+3
+7
+14
+9
+1
+-4
+-5
+-1
+5
+9
+13
+9
+4
+-1
+-3
+-1
+2
+4
+7
+6
+5
+3
+1
+-2
+-4
+-5
+-1
+2
+6
+8
+5
+-2
+-11
+-17
+-7
+-1
+7
+11
+8
+-3
+-15
+-24
+-2
+-9
+-16
+-19
+-20
+-23
+-30
+-37
+-15
+-22
+-29
+-32
+-33
+-36
+-43
+-50
+-12
+-19
+-26
+-29
+-30
+-33
+-40
+-47
+-10
+-17
+-25
+-28
+-28
+-31
+-39
+-46
+-19
+-26
+-34
+-37
+-37
+-40
+-48
+-55
+-10
+-17
+-25
+-28
+-28
+-31
+-39
+-46
+4
+-3
+-11
+-14
+-14
+-17
+-25
+-32
+-2
+-9
+-16
+-19
+-20
+-23
+-30
+-37
+-41
+-41
+-41
+-41
+-41
+-41
+-41
+-41
+-66
+-66
+-66
+-66
+-66
+-66
+-66
+-66
+-66
+-66
+-66
+-66
+-66
+-66
+-66
+-66
+-53
+-53
+-53
+-53
+-53
+-53
+-53
+-53
+-56
+-56
+-56
+-56
+-56
+-56
+-56
+-56
+-51
+-51
+-51
+-51
+-51
+-51
+-51
+-51
+-40
+-40
+-40
+-40
+-40
+-40
+-40
+-40
+-42
+-42
+-42
+-42
+-42
+-42
+-42
+-42
+-40
+-41
+-41
+-41
+-41
+-41
+-41
+-42
+-65
+-66
+-66
+-67
+-68
+-69
+-69
+-70
+-65
+-66
+-67
+-69
+-71
+-73
+-74
+-75
+-53
+-54
+-56
+-60
+-63
+-66
+-68
+-70
+-56
+-58
+-61
+-66
+-70
+-75
+-78
+-80
+-51
+-54
+-58
+-64
+-70
+-76
+-81
+-83
+-40
+-43
+-48
+-55
+-63
+-70
+-75
+-77
+-42
+-45
+-51
+-58
+-66
+-74
+-79
+-82
+-53
+-53
+-53
+-53
+-53
+-53
+-53
+-53
+-69
+-69
+-69
+-69
+-69
+-69
+-69
+-69
+-78
+-78
+-78
+-78
+-78
+-78
+-78
+-78
+-74
+-74
+-74
+-74
+-74
+-74
+-74
+-74
+-73
+-73
+-73
+-73
+-73
+-73
+-73
+-73
+-81
+-81
+-81
+-81
+-81
+-81
+-81
+-81
+-84
+-84
+-84
+-84
+-84
+-84
+-84
+-84
+-81
+-81
+-81
+-81
+-81
+-81
+-81
+-81
+-67
+-64
+-61
+-62
+-62
+-55
+-42
+-31
+-70
+-69
+-70
+-73
+-72
+-63
+-47
+-34
+-74
+-77
+-82
+-88
+-88
+-75
+-55
+-39
+-78
+-83
+-92
+-100
+-100
+-85
+-61
+-43
+-81
+-86
+-95
+-103
+-103
+-88
+-64
+-46
+-82
+-84
+-90
+-96
+-95
+-83
+-62
+-46
+-81
+-80
+-81
+-84
+-84
+-75
+-59
+-46
+-81
+-77
+-75
+-76
+-75
+-68
+-56
+-45
+-43
+-45
+-49
+-53
+-58
+-63
+-66
+-68
+-69
+-71
+-74
+-79
+-85
+-89
+-93
+-95
+-70
+-72
+-76
+-82
+-87
+-93
+-97
+-99
+-62
+-64
+-69
+-75
+-81
+-87
+-92
+-94
+-70
+-73
+-78
+-85
+-92
+-99
+-104
+-107
+-74
+-77
+-82
+-90
+-98
+-105
+-111
+-114
+-70
+-73
+-79
+-87
+-95
+-103
+-109
+-113
+-76
+-79
+-86
+-94
+-102
+-111
+-117
+-120
+-76
+-71
+-67
+-69
+-71
+-64
+-46
+-31
+-107
+-102
+-98
+-97
+-94
+-80
+-59
+-42
+-116
+-112
+-107
+-100
+-88
+-67
+-40
+-22
+-114
+-110
+-102
+-89
+-67
+-39
+-11
+7
+-124
+-119
+-107
+-86
+-57
+-27
+-2
+11
+-123
+-116
+-99
+-71
+-38
+-11
+5
+11
+-113
+-104
+-82
+-49
+-15
+7
+13
+11
+-115
+-104
+-79
+-43
+-9
+9
+8
+1
+-17
+-29
+-41
+-46
+-43
+-36
+-33
+-32
+-31
+-42
+-55
+-61
+-59
+-53
+-50
+-50
+-41
+-52
+-66
+-73
+-71
+-66
+-64
+-64
+-44
+-55
+-69
+-76
+-74
+-69
+-67
+-67
+-53
+-64
+-77
+-82
+-79
+-72
+-69
+-69
+-67
+-77
+-88
+-91
+-84
+-76
+-70
+-69
+-70
+-79
+-88
+-88
+-79
+-67
+-60
+-57
+-64
+-72
+-80
+-78
+-66
+-53
+-44
+-41
+-37
+-37
+-36
+-37
+-40
+-45
+-50
+-54
+-55
+-54
+-52
+-51
+-53
+-56
+-60
+-62
+-70
+-67
+-62
+-57
+-53
+-52
+-52
+-52
+-74
+-68
+-57
+-45
+-34
+-25
+-20
+-18
+-71
+-62
+-48
+-30
+-13
+0
+9
+14
+-57
+-49
+-35
+-18
+-2
+11
+19
+23
+-27
+-22
+-12
+-2
+8
+15
+18
+20
+2
+5
+10
+15
+18
+19
+18
+18
+-51
+-45
+-36
+-27
+-20
+-18
+-18
+-19
+-71
+-66
+-58
+-51
+-46
+-44
+-44
+-45
+-76
+-73
+-68
+-63
+-60
+-59
+-60
+-61
+-50
+-49
+-48
+-47
+-47
+-48
+-48
+-49
+-15
+-17
+-20
+-23
+-26
+-28
+-29
+-30
+7
+3
+-4
+-11
+-17
+-20
+-21
+-22
+18
+12
+3
+-8
+-16
+-20
+-21
+-21
+25
+18
+7
+-5
+-14
+-19
+-20
+-20
+-19
+-18
+-18
+-19
+-14
+0
+22
+38
+-27
+-26
+-27
+-27
+-23
+-8
+13
+29
+-18
+-17
+-17
+-18
+-13
+1
+22
+38
+-11
+-11
+-11
+-12
+-7
+8
+29
+45
+-19
+-18
+-18
+-19
+-14
+0
+21
+37
+-12
+-12
+-12
+-13
+-8
+7
+28
+44
+-3
+-2
+-3
+-3
+1
+16
+37
+53
+-12
+-11
+-11
+-12
+-7
+7
+29
+45
+0
+0
+0
+0
+0
+0
+0
+0
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-17
+-17
+-17
+-17
+-17
+-17
+-17
+-17
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-13
+-17
+-17
+-17
+-17
+-17
+-17
+-17
+-17
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+-11
+0
+0
+0
+0
+0
+0
+0
+0
+-28
+-27
+-24
+-21
+-17
+-14
+-11
+-9
+-38
+-36
+-32
+-28
+-23
+-19
+-15
+-13
+-29
+-27
+-22
+-16
+-10
+-5
+0
+2
+-22
+-20
+-15
+-8
+-2
+5
+10
+12
+-28
+-25
+-21
+-15
+-9
+-4
+1
+3
+-18
+-16
+-14
+-10
+-6
+-2
+1
+2
+-5
+-4
+-3
+-2
+0
+1
+2
+3
+-12
+-12
+-12
+-12
+-12
+-12
+-12
+-12
+-94
+-84
+-72
+-65
+-63
+-60
+-55
+-50
+-93
+-80
+-63
+-52
+-48
+-47
+-45
+-42
+-88
+-71
+-46
+-29
+-24
+-25
+-27
+-27
+-78
+-56
+-26
+-4
+3
+-1
+-7
+-10
+-61
+-38
+-6
+18
+25
+20
+12
+7
+-40
+-18
+11
+32
+38
+33
+25
+21
+-21
+-2
+24
+41
+44
+39
+34
+31
+-9
+8
+30
+44
+46
+42
+38
+36
+-23
+-25
+-27
+-29
+-28
+-26
+-22
+-20
+-50
+-51
+-54
+-56
+-55
+-53
+-50
+-48
+-76
+-78
+-81
+-83
+-84
+-82
+-79
+-78
+-89
+-91
+-95
+-98
+-99
+-98
+-96
+-94
+-93
+-95
+-99
+-103
+-104
+-104
+-103
+-101
+-86
+-89
+-94
+-98
+-100
+-101
+-100
+-99
+-65
+-68
+-72
+-77
+-80
+-81
+-80
+-80
+-41
+-44
+-49
+-54
+-58
+-59
+-58
+-58
+-65
+-80
+-91
+-95
+-104
+-116
+-116
+-108
+-67
+-82
+-93
+-97
+-106
+-118
+-118
+-110
+-71
+-86
+-97
+-100
+-108
+-119
+-119
+-110
+-79
+-92
+-102
+-103
+-109
+-118
+-116
+-107
+-88
+-100
+-107
+-105
+-107
+-112
+-108
+-97
+-99
+-109
+-112
+-106
+-102
+-104
+-96
+-83
+-108
+-116
+-116
+-105
+-97
+-94
+-83
+-69
+-113
+-121
+-118
+-105
+-94
+-88
+-75
+-60
+26
+24
+14
+2
+7
+20
+23
+14
+17
+17
+11
+3
+9
+23
+25
+16
+4
+9
+8
+6
+15
+29
+28
+18
+-5
+4
+10
+13
+23
+34
+29
+15
+-5
+7
+18
+23
+32
+38
+26
+7
+3
+18
+31
+36
+41
+39
+19
+-5
+15
+31
+44
+48
+48
+39
+11
+-18
+24
+40
+53
+56
+52
+38
+5
+-26
+-24
+-26
+-25
+-18
+-9
+-5
+-8
+-13
+-28
+-28
+-24
+-15
+-6
+-4
+-9
+-16
+-33
+-30
+-23
+-11
+-2
+-1
+-9
+-18
+-36
+-33
+-23
+-10
+0
+0
+-9
+-18
+-36
+-33
+-25
+-13
+-2
+0
+-6
+-14
+-31
+-32
+-29
+-19
+-8
+-1
+-2
+-6
+-26
+-30
+-32
+-27
+-14
+-3
+2
+3
+-22
+-29
+-35
+-32
+-19
+-5
+4
+8
+10
+6
+9
+14
+12
+6
+14
+29
+5
+1
+4
+10
+8
+2
+11
+26
+-1
+-5
+-2
+5
+3
+-2
+7
+22
+-7
+-10
+-7
+1
+-1
+-5
+4
+20
+-9
+-12
+-8
+0
+0
+-4
+6
+22
+-7
+-9
+-5
+4
+4
+1
+11
+27
+-2
+-5
+0
+9
+10
+7
+18
+34
+1
+-2
+3
+13
+14
+11
+22
+39
+38
+27
+16
+14
+19
+18
+7
+-5
+36
+26
+17
+16
+20
+15
+-1
+-15
+33
+26
+19
+20
+20
+10
+-12
+-31
+35
+28
+23
+22
+19
+3
+-24
+-47
+44
+35
+27
+23
+16
+-4
+-34
+-58
+57
+45
+31
+21
+11
+-10
+-39
+-62
+71
+55
+34
+19
+6
+-14
+-41
+-61
+80
+61
+36
+17
+2
+-16
+-41
+-60
+-110
+-112
+-113
+-110
+-102
+-90
+-77
+-70
+-106
+-109
+-110
+-106
+-96
+-80
+-64
+-54
+-104
+-106
+-106
+-100
+-86
+-65
+-44
+-31
+-108
+-108
+-105
+-94
+-76
+-51
+-28
+-13
+-113
+-109
+-100
+-84
+-62
+-38
+-16
+-3
+-113
+-104
+-87
+-65
+-42
+-21
+-5
+3
+-107
+-93
+-69
+-41
+-18
+-2
+7
+10
+-101
+-83
+-54
+-24
+-1
+12
+16
+16
+20
+10
+-7
+-27
+-40
+-42
+-33
+-25
+15
+3
+-15
+-34
+-44
+-40
+-29
+-19
+8
+-6
+-27
+-43
+-46
+-36
+-20
+-9
+2
+-15
+-37
+-49
+-45
+-28
+-10
+1
+-1
+-19
+-39
+-45
+-35
+-16
+0
+8
+-1
+-17
+-34
+-34
+-19
+-1
+9
+11
+2
+-13
+-25
+-20
+-2
+13
+15
+11
+4
+-9
+-18
+-10
+9
+21
+18
+10
+21
+12
+2
+-4
+-1
+10
+25
+34
+6
+3
+1
+3
+11
+23
+36
+45
+-6
+-2
+6
+17
+30
+41
+51
+56
+-4
+5
+20
+35
+46
+53
+55
+55
+8
+18
+32
+45
+51
+49
+43
+38
+13
+20
+31
+38
+38
+30
+20
+12
+4
+9
+15
+18
+15
+6
+-5
+-12
+-8
+-4
+0
+1
+-2
+-11
+-21
+-27
+55
+59
+61
+54
+35
+6
+-23
+-41
+49
+49
+48
+39
+21
+-3
+-26
+-40
+37
+33
+26
+14
+-1
+-17
+-31
+-39
+21
+14
+2
+-11
+-22
+-31
+-35
+-37
+4
+-4
+-17
+-30
+-38
+-39
+-37
+-35
+-12
+-19
+-30
+-40
+-44
+-42
+-38
+-34
+-25
+-29
+-37
+-42
+-44
+-41
+-36
+-32
+-31
+-34
+-39
+-42
+-42
+-39
+-35
+-32
+-5
+16
+38
+40
+22
+2
+-6
+-6
+-9
+11
+32
+34
+19
+2
+-4
+-4
+-14
+3
+21
+25
+15
+3
+-1
+1
+-15
+-3
+10
+15
+10
+4
+3
+5
+-11
+-5
+3
+7
+6
+5
+6
+7
+-2
+-2
+0
+2
+3
+5
+7
+8
+8
+4
+0
+0
+2
+6
+8
+8
+15
+8
+1
+-1
+2
+6
+8
+7
+44
+44
+46
+49
+55
+62
+69
+72
+30
+31
+34
+39
+46
+55
+63
+67
+14
+15
+17
+22
+29
+37
+45
+49
+6
+5
+4
+4
+6
+10
+14
+17
+12
+8
+2
+-4
+-9
+-12
+-13
+-13
+27
+22
+12
+1
+-9
+-16
+-20
+-22
+43
+37
+28
+16
+6
+-1
+-6
+-8
+53
+48
+39
+29
+21
+15
+11
+10
+75
+75
+76
+76
+75
+72
+69
+67
+70
+71
+71
+71
+70
+69
+67
+66
+53
+54
+55
+57
+59
+61
+61
+62
+21
+23
+27
+33
+40
+47
+53
+56
+-11
+-8
+-2
+8
+20
+33
+44
+51
+-24
+-21
+-15
+-5
+10
+25
+39
+47
+-15
+-14
+-10
+-2
+10
+25
+38
+46
+-1
+-1
+1
+5
+15
+27
+39
+46
+65
+66
+71
+79
+85
+83
+73
+63
+75
+74
+74
+77
+77
+70
+57
+46
+79
+74
+69
+65
+60
+49
+33
+20
+59
+53
+45
+40
+34
+23
+8
+-3
+23
+16
+10
+7
+5
+-1
+-11
+-19
+-11
+-17
+-21
+-22
+-18
+-18
+-23
+-29
+-27
+-33
+-38
+-37
+-32
+-29
+-31
+-35
+-30
+-37
+-43
+-42
+-37
+-34
+-35
+-38
+40
+32
+19
+3
+-13
+-25
+-33
+-37
+20
+14
+5
+-6
+-16
+-23
+-27
+-29
+-6
+-9
+-14
+-18
+-22
+-23
+-22
+-21
+-22
+-24
+-27
+-29
+-29
+-27
+-25
+-23
+-27
+-29
+-32
+-34
+-35
+-33
+-31
+-29
+-28
+-31
+-33
+-36
+-36
+-35
+-32
+-30
+-33
+-34
+-35
+-35
+-33
+-29
+-25
+-22
+-39
+-39
+-38
+-35
+-30
+-23
+-17
+-13
+-99
+-63
+-18
+10
+14
+9
+9
+12
+-84
+-52
+-12
+11
+14
+8
+7
+10
+-57
+-32
+-2
+15
+15
+8
+6
+7
+-23
+-7
+12
+21
+18
+11
+8
+8
+12
+19
+26
+28
+24
+19
+15
+15
+43
+42
+39
+36
+32
+29
+27
+26
+65
+58
+49
+43
+40
+40
+40
+39
+77
+67
+55
+47
+45
+47
+47
+47
+14
+1
+-9
+-3
+14
+24
+20
+11
+13
+3
+-3
+5
+20
+27
+21
+10
+13
+9
+9
+18
+30
+33
+23
+11
+17
+17
+21
+31
+40
+38
+27
+15
+24
+27
+34
+42
+46
+43
+33
+24
+35
+39
+44
+48
+48
+45
+40
+36
+46
+48
+50
+49
+47
+46
+47
+48
+53
+54
+53
+49
+46
+46
+50
+55
+12
+9
+7
+9
+13
+14
+10
+6
+7
+9
+12
+12
+11
+9
+9
+9
+0
+10
+19
+18
+9
+3
+7
+14
+-6
+10
+25
+23
+8
+0
+5
+15
+-8
+9
+26
+25
+11
+1
+4
+13
+-7
+7
+22
+24
+15
+6
+4
+7
+-2
+5
+16
+22
+21
+14
+5
+0
+1
+4
+12
+20
+24
+19
+6
+-5
+-17
+0
+8
+15
+19
+4
+2
+27
+-18
+-2
+5
+12
+16
+1
+0
+26
+-18
+-4
+2
+8
+12
+-2
+-2
+25
+-18
+-4
+-1
+4
+8
+-5
+-3
+25
+-16
+-3
+-2
+1
+6
+-5
+-2
+28
+-12
+-1
+-1
+1
+5
+-5
+1
+32
+-7
+3
+1
+2
+6
+-2
+4
+36
+-5
+5
+3
+3
+7
+-1
+7
+39
+54
+47
+37
+25
+17
+12
+11
+11
+46
+41
+33
+25
+20
+19
+19
+20
+39
+36
+32
+28
+26
+26
+27
+28
+41
+39
+36
+33
+30
+28
+27
+27
+48
+46
+44
+39
+34
+28
+23
+21
+50
+49
+48
+44
+38
+31
+24
+19
+43
+44
+46
+47
+44
+38
+31
+27
+34
+38
+43
+47
+47
+44
+39
+36
+22
+28
+40
+56
+73
+89
+101
+108
+50
+53
+61
+70
+81
+90
+98
+102
+82
+83
+85
+87
+90
+92
+94
+95
+96
+96
+95
+94
+93
+92
+91
+91
+90
+90
+90
+90
+90
+90
+90
+90
+79
+79
+81
+82
+84
+86
+88
+88
+76
+76
+78
+79
+81
+83
+84
+85
+78
+78
+79
+79
+80
+80
+81
+81
+56
+52
+51
+54
+55
+43
+22
+3
+50
+48
+49
+50
+47
+31
+6
+-14
+44
+45
+48
+47
+38
+15
+-15
+-36
+44
+47
+50
+46
+29
+0
+-32
+-53
+47
+51
+52
+42
+18
+-13
+-43
+-60
+47
+50
+47
+31
+3
+-27
+-51
+-63
+43
+43
+36
+15
+-16
+-43
+-59
+-65
+38
+37
+27
+2
+-29
+-54
+-65
+-67
+4
+1
+6
+13
+9
+1
+5
+18
+2
+-1
+4
+11
+7
+-1
+4
+17
+-1
+-3
+1
+8
+5
+-4
+1
+14
+-3
+-5
+-1
+7
+3
+-6
+-1
+12
+-3
+-5
+-1
+7
+3
+-6
+-1
+12
+-1
+-3
+1
+8
+5
+-4
+1
+14
+2
+-1
+4
+11
+7
+-1
+4
+17
+4
+1
+6
+13
+9
+1
+5
+18
+25
+15
+2
+-6
+-7
+-4
+-2
+-2
+31
+21
+9
+-1
+-6
+-7
+-6
+-5
+39
+32
+20
+6
+-5
+-10
+-11
+-11
+45
+41
+30
+13
+-4
+-15
+-19
+-18
+46
+45
+37
+19
+-4
+-20
+-25
+-24
+42
+45
+40
+21
+-6
+-25
+-30
+-27
+35
+41
+39
+21
+-8
+-29
+-33
+-29
+30
+38
+38
+20
+-9
+-31
+-34
+-30
+72
+73
+74
+75
+77
+78
+79
+79
+75
+76
+77
+78
+80
+81
+82
+82
+72
+72
+73
+75
+76
+77
+78
+79
+66
+67
+68
+69
+70
+72
+73
+73
+71
+72
+73
+74
+75
+77
+78
+78
+81
+81
+82
+84
+85
+86
+87
+88
+77
+78
+79
+80
+82
+83
+84
+84
+65
+65
+66
+68
+69
+70
+71
+72
+59
+54
+46
+39
+36
+36
+38
+40
+55
+51
+46
+40
+33
+28
+25
+23
+49
+48
+46
+40
+29
+16
+4
+-4
+42
+44
+43
+37
+22
+2
+-19
+-31
+38
+40
+39
+31
+13
+-11
+-36
+-51
+36
+37
+33
+23
+4
+-21
+-45
+-60
+37
+34
+28
+15
+-4
+-27
+-48
+-60
+37
+33
+25
+10
+-9
+-30
+-48
+-59
+-83
+-88
+-90
+-84
+-66
+-39
+-11
+7
+-80
+-79
+-74
+-64
+-48
+-28
+-8
+3
+-71
+-62
+-48
+-32
+-19
+-9
+-4
+-2
+-56
+-42
+-20
+-1
+9
+9
+2
+-5
+-36
+-21
+2
+21
+27
+20
+7
+-4
+-13
+-1
+16
+30
+32
+24
+11
+1
+6
+13
+22
+29
+29
+23
+13
+7
+18
+20
+24
+25
+24
+20
+15
+11
+-12
+2
+-1
+-6
+3
+3
+4
+22
+-14
+0
+-3
+-7
+4
+4
+6
+25
+-18
+-3
+-5
+-7
+5
+6
+9
+28
+-20
+-4
+-6
+-7
+5
+7
+11
+30
+-18
+-2
+-5
+-7
+5
+6
+9
+28
+-12
+2
+-2
+-6
+4
+3
+5
+23
+-6
+7
+2
+-5
+2
+0
+0
+17
+-2
+11
+4
+-4
+1
+-3
+-4
+12
+28
+21
+8
+-5
+-16
+-23
+-26
+-27
+20
+12
+-1
+-13
+-21
+-23
+-22
+-20
+7
+-2
+-14
+-24
+-27
+-22
+-13
+-7
+-8
+-15
+-25
+-31
+-28
+-17
+-3
+6
+-20
+-25
+-29
+-30
+-22
+-8
+7
+17
+-28
+-28
+-26
+-20
+-9
+3
+16
+23
+-32
+-27
+-18
+-7
+5
+15
+22
+25
+-34
+-26
+-12
+2
+14
+21
+25
+26
+-31
+-31
+-32
+-38
+-48
+-61
+-73
+-81
+-14
+-13
+-13
+-19
+-32
+-50
+-68
+-79
+4
+7
+9
+4
+-11
+-35
+-59
+-74
+7
+13
+19
+18
+4
+-21
+-47
+-64
+0
+7
+16
+18
+7
+-14
+-38
+-54
+-1
+5
+11
+12
+2
+-16
+-35
+-48
+11
+13
+13
+8
+-4
+-21
+-38
+-48
+24
+22
+17
+7
+-8
+-25
+-41
+-51
+4
+4
+4
+2
+-2
+-6
+-10
+-12
+0
+1
+2
+2
+-1
+-6
+-12
+-15
+-4
+-1
+2
+4
+1
+-5
+-11
+-16
+-2
+1
+4
+6
+4
+-1
+-7
+-11
+3
+3
+4
+3
+2
+1
+-1
+-2
+5
+1
+-5
+-10
+-10
+-6
+0
+4
+2
+-8
+-21
+-30
+-30
+-20
+-6
+3
+-3
+-15
+-33
+-45
+-45
+-31
+-13
+0
+11
+26
+42
+43
+30
+13
+3
+0
+5
+19
+33
+35
+25
+12
+4
+2
+-4
+7
+19
+23
+18
+11
+6
+5
+-11
+-5
+3
+8
+10
+9
+7
+7
+-13
+-12
+-9
+-4
+2
+6
+7
+7
+-9
+-14
+-17
+-13
+-4
+4
+7
+6
+-3
+-13
+-21
+-19
+-8
+2
+5
+4
+1
+-11
+-22
+-21
+-9
+1
+4
+3
+24
+30
+38
+40
+39
+41
+46
+52
+25
+29
+34
+35
+34
+37
+45
+53
+29
+31
+33
+31
+30
+36
+47
+57
+36
+37
+37
+35
+34
+40
+53
+64
+40
+43
+45
+44
+43
+48
+59
+68
+35
+42
+49
+51
+50
+51
+58
+63
+23
+33
+46
+53
+52
+49
+49
+51
+12
+25
+42
+51
+50
+45
+41
+40
+70
+57
+44
+41
+47
+52
+50
+45
+70
+58
+46
+41
+45
+48
+47
+42
+70
+60
+48
+42
+41
+42
+41
+38
+71
+63
+51
+42
+36
+34
+33
+33
+71
+66
+55
+42
+31
+25
+25
+27
+72
+68
+58
+42
+25
+17
+17
+21
+72
+70
+61
+42
+22
+11
+12
+17
+72
+71
+62
+42
+19
+7
+8
+15
+8
+8
+8
+10
+14
+19
+25
+29
+12
+13
+15
+16
+18
+20
+20
+21
+17
+20
+24
+25
+24
+18
+12
+8
+21
+25
+29
+30
+25
+14
+2
+-6
+21
+24
+27
+26
+18
+5
+-8
+-17
+18
+18
+17
+13
+5
+-6
+-17
+-24
+13
+11
+5
+-2
+-10
+-18
+-24
+-27
+10
+5
+-3
+-12
+-19
+-25
+-27
+-28
+-12
+-9
+-8
+-11
+-12
+-7
+4
+14
+-32
+-30
+-29
+-31
+-32
+-27
+-15
+-5
+-51
+-48
+-46
+-48
+-49
+-43
+-31
+-20
+-57
+-55
+-53
+-54
+-54
+-47
+-34
+-24
+-61
+-57
+-55
+-55
+-54
+-47
+-34
+-23
+-60
+-56
+-54
+-53
+-52
+-44
+-30
+-19
+-46
+-42
+-39
+-38
+-36
+-28
+-14
+-2
+-28
+-24
+-21
+-20
+-17
+-9
+6
+17
+-10
+-31
+-58
+-75
+-75
+-63
+-49
+-41
+-7
+-28
+-55
+-73
+-75
+-66
+-55
+-49
+-6
+-26
+-51
+-67
+-70
+-63
+-56
+-52
+-10
+-26
+-45
+-56
+-55
+-48
+-42
+-39
+-11
+-22
+-33
+-36
+-29
+-20
+-14
+-12
+0
+-7
+-12
+-9
+1
+11
+16
+17
+22
+17
+14
+18
+27
+35
+37
+35
+41
+36
+32
+36
+42
+47
+45
+42
+-12
+-5
+1
+0
+-4
+-1
+11
+23
+-16
+-8
+1
+3
+2
+5
+15
+24
+-20
+-11
+0
+7
+11
+14
+21
+27
+-19
+-11
+0
+11
+18
+23
+28
+30
+-10
+-7
+0
+11
+22
+30
+33
+34
+6
+2
+1
+8
+20
+32
+37
+38
+22
+11
+2
+3
+16
+31
+39
+41
+33
+18
+2
+0
+13
+30
+40
+43
+13
+16
+19
+20
+17
+11
+4
+-1
+12
+13
+13
+12
+11
+9
+8
+6
+10
+8
+4
+1
+3
+7
+13
+17
+8
+2
+-6
+-10
+-6
+4
+16
+24
+4
+-3
+-12
+-16
+-12
+0
+15
+25
+0
+-6
+-14
+-18
+-15
+-4
+9
+17
+-3
+-7
+-13
+-17
+-14
+-7
+1
+7
+-5
+-8
+-12
+-15
+-14
+-9
+-4
+0
+-13
+-11
+-11
+-16
+-19
+-16
+-6
+3
+-2
+-3
+-7
+-15
+-19
+-14
+-1
+10
+14
+9
+-1
+-13
+-19
+-12
+4
+17
+27
+18
+4
+-13
+-21
+-14
+4
+19
+30
+21
+4
+-14
+-24
+-20
+-3
+11
+23
+15
+1
+-17
+-30
+-29
+-18
+-7
+11
+6
+-5
+-21
+-35
+-39
+-34
+-26
+3
+0
+-9
+-24
+-39
+-46
+-44
+-39
+33
+36
+44
+55
+69
+84
+97
+104
+54
+56
+61
+69
+80
+92
+102
+108
+70
+71
+74
+80
+87
+96
+105
+109
+67
+69
+72
+78
+86
+96
+104
+110
+56
+59
+64
+72
+83
+95
+105
+112
+42
+45
+50
+59
+71
+83
+94
+100
+20
+22
+25
+31
+40
+50
+59
+64
+-1
+0
+0
+4
+9
+15
+22
+26
+106
+102
+96
+89
+84
+81
+80
+80
+114
+110
+103
+95
+89
+85
+84
+83
+119
+114
+106
+97
+90
+85
+82
+81
+118
+113
+105
+95
+87
+81
+78
+77
+115
+111
+103
+94
+86
+81
+79
+78
+100
+96
+90
+83
+77
+74
+73
+73
+63
+60
+55
+50
+47
+47
+47
+48
+25
+23
+20
+16
+15
+16
+18
+19
+81
+80
+79
+78
+77
+75
+74
+74
+84
+83
+82
+81
+79
+78
+77
+77
+82
+81
+80
+79
+77
+76
+75
+75
+78
+77
+76
+75
+74
+72
+71
+71
+80
+79
+78
+77
+75
+74
+73
+73
+76
+75
+74
+73
+72
+71
+70
+69
+52
+52
+51
+49
+48
+47
+46
+45
+24
+23
+23
+21
+20
+19
+18
+17
+73
+71
+69
+66
+63
+59
+57
+56
+81
+80
+77
+73
+70
+66
+63
+62
+84
+82
+79
+76
+71
+67
+64
+63
+78
+77
+74
+71
+68
+64
+62
+61
+74
+73
+72
+71
+70
+69
+68
+67
+65
+66
+67
+69
+72
+74
+75
+76
+41
+44
+48
+53
+59
+64
+68
+71
+16
+19
+25
+32
+40
+48
+53
+57
+-19
+-14
+-5
+4
+9
+9
+8
+6
+1
+7
+17
+25
+31
+31
+30
+28
+20
+26
+36
+45
+51
+52
+51
+49
+25
+32
+42
+51
+58
+60
+59
+58
+25
+31
+42
+53
+60
+63
+62
+61
+19
+26
+37
+48
+56
+59
+60
+59
+-1
+7
+18
+30
+38
+42
+43
+42
+-22
+-15
+-3
+9
+18
+22
+23
+22
+17
+19
+24
+29
+36
+41
+46
+48
+33
+35
+38
+42
+46
+50
+53
+55
+49
+50
+50
+52
+53
+54
+55
+55
+57
+57
+56
+54
+53
+51
+50
+49
+63
+62
+60
+57
+54
+51
+49
+48
+61
+60
+58
+55
+52
+49
+46
+45
+40
+39
+37
+35
+33
+31
+29
+28
+15
+14
+13
+12
+10
+9
+8
+7
+4
+-16
+-42
+-58
+-58
+-52
+-46
+-44
+-2
+-23
+-48
+-61
+-60
+-52
+-47
+-47
+-12
+-33
+-57
+-66
+-60
+-50
+-47
+-49
+-21
+-43
+-65
+-70
+-57
+-45
+-44
+-49
+-27
+-49
+-70
+-69
+-50
+-36
+-37
+-45
+-29
+-52
+-71
+-65
+-40
+-23
+-26
+-37
+-28
+-51
+-69
+-59
+-30
+-11
+-15
+-29
+-26
+-49
+-67
+-55
+-23
+-3
+-9
+-24
+-54
+-50
+-43
+-36
+-31
+-28
+-27
+-27
+-43
+-43
+-41
+-40
+-38
+-35
+-33
+-32
+-27
+-31
+-38
+-43
+-46
+-44
+-41
+-38
+-12
+-20
+-32
+-43
+-49
+-48
+-44
+-40
+-3
+-11
+-24
+-36
+-42
+-42
+-39
+-35
+-2
+-7
+-15
+-23
+-27
+-28
+-26
+-24
+-5
+-6
+-7
+-9
+-10
+-10
+-11
+-11
+-9
+-7
+-3
+1
+2
+1
+-1
+-2
+-4
+-3
+0
+4
+9
+13
+16
+17
+-16
+-15
+-13
+-10
+-8
+-5
+-4
+-3
+-17
+-17
+-17
+-17
+-17
+-17
+-17
+-17
+-5
+-6
+-7
+-9
+-11
+-13
+-14
+-15
+3
+2
+0
+-2
+-5
+-7
+-9
+-10
+1
+0
+-1
+-3
+-5
+-7
+-9
+-9
+0
+0
+0
+-1
+-2
+-2
+-3
+-3
+5
+5
+5
+6
+6
+7
+7
+7
+29
+25
+22
+18
+12
+-2
+-21
+-35
+20
+18
+17
+17
+11
+-3
+-24
+-40
+10
+11
+14
+17
+14
+-2
+-25
+-42
+6
+9
+15
+21
+20
+5
+-18
+-35
+9
+11
+17
+24
+25
+14
+-5
+-21
+13
+12
+15
+20
+23
+17
+5
+-6
+13
+9
+7
+10
+14
+14
+8
+2
+12
+5
+0
+0
+6
+9
+8
+5
+-48
+-46
+-42
+-35
+-25
+-14
+-4
+2
+-57
+-56
+-52
+-46
+-37
+-26
+-17
+-12
+-57
+-56
+-54
+-49
+-41
+-33
+-25
+-20
+-45
+-45
+-44
+-41
+-35
+-29
+-22
+-18
+-33
+-34
+-35
+-34
+-31
+-26
+-21
+-18
+-25
+-27
+-29
+-30
+-29
+-26
+-23
+-21
+-9
+-11
+-14
+-17
+-18
+-16
+-14
+-13
+9
+6
+2
+-1
+-3
+-2
+-1
+1
+-20
+-52
+-71
+-56
+-27
+-11
+-8
+-8
+-21
+-52
+-72
+-57
+-27
+-10
+-6
+-5
+-21
+-53
+-73
+-58
+-27
+-8
+-2
+1
+-21
+-54
+-75
+-59
+-27
+-6
+3
+8
+-21
+-54
+-77
+-61
+-27
+-3
+9
+15
+-21
+-55
+-78
+-63
+-28
+-1
+14
+22
+-21
+-56
+-79
+-64
+-28
+1
+18
+28
+-21
+-56
+-80
+-64
+-28
+2
+20
+31
+-16
+-16
+-16
+-14
+-9
+-4
+2
+6
+-14
+-12
+-8
+-3
+2
+6
+10
+11
+-11
+-5
+4
+13
+19
+21
+20
+19
+-5
+3
+15
+27
+33
+32
+28
+25
+3
+11
+22
+32
+36
+34
+29
+25
+12
+16
+22
+27
+29
+27
+23
+20
+20
+20
+19
+18
+17
+15
+13
+13
+24
+21
+16
+11
+8
+6
+7
+7
+-1
+-2
+-2
+-2
+1
+6
+11
+14
+0
+0
+1
+2
+2
+2
+2
+1
+0
+3
+6
+6
+3
+-3
+-10
+-15
+0
+4
+8
+9
+4
+-6
+-18
+-26
+-2
+2
+7
+9
+4
+-5
+-16
+-24
+-5
+-2
+2
+5
+4
+0
+-6
+-10
+-8
+-6
+-3
+0
+4
+7
+9
+10
+-10
+-9
+-8
+-3
+3
+11
+19
+23
+19
+-24
+-57
+-50
+-24
+-10
+-12
+-17
+23
+-19
+-52
+-46
+-21
+-9
+-13
+-18
+26
+-15
+-48
+-42
+-19
+-9
+-15
+-22
+24
+-17
+-48
+-42
+-19
+-11
+-19
+-28
+19
+-20
+-50
+-41
+-17
+-10
+-20
+-29
+17
+-20
+-46
+-34
+-8
+-1
+-11
+-21
+21
+-14
+-37
+-21
+7
+16
+6
+-4
+26
+-8
+-29
+-11
+18
+29
+20
+9
+-10
+-5
+4
+14
+23
+30
+35
+37
+-10
+-6
+2
+11
+20
+27
+32
+34
+-7
+-4
+0
+5
+11
+16
+20
+22
+-1
+-3
+-5
+-6
+-7
+-6
+-5
+-4
+3
+-2
+-10
+-18
+-24
+-27
+-28
+-28
+3
+-4
+-14
+-24
+-31
+-33
+-33
+-31
+-3
+-9
+-17
+-24
+-26
+-23
+-18
+-14
+-9
+-13
+-19
+-22
+-19
+-11
+-1
+5
+7
+6
+5
+2
+-4
+-11
+-18
+-22
+3
+0
+-3
+-8
+-13
+-17
+-20
+-22
+-4
+-9
+-16
+-22
+-26
+-25
+-22
+-20
+-11
+-17
+-26
+-33
+-34
+-30
+-22
+-17
+-17
+-22
+-29
+-34
+-33
+-26
+-17
+-11
+-20
+-22
+-25
+-26
+-22
+-16
+-9
+-4
+-22
+-20
+-17
+-13
+-8
+-3
+1
+3
+-22
+-18
+-11
+-4
+2
+5
+6
+7
+-37
+-30
+-18
+-6
+3
+7
+8
+7
+-33
+-29
+-23
+-16
+-9
+-5
+-3
+-3
+-23
+-24
+-25
+-25
+-23
+-19
+-16
+-13
+-7
+-12
+-19
+-25
+-27
+-25
+-21
+-18
+10
+4
+-5
+-14
+-19
+-20
+-18
+-17
+19
+15
+8
+0
+-7
+-11
+-14
+-14
+19
+18
+15
+11
+4
+-4
+-11
+-16
+15
+17
+18
+15
+8
+-2
+-12
+-18
+5
+8
+10
+8
+4
+2
+4
+6
+7
+8
+9
+8
+4
+2
+3
+5
+10
+9
+8
+7
+5
+4
+3
+2
+14
+10
+6
+5
+6
+6
+2
+-3
+19
+11
+4
+4
+8
+8
+1
+-7
+23
+12
+3
+3
+9
+9
+-1
+-11
+26
+13
+1
+2
+10
+11
+-1
+-14
+28
+14
+1
+2
+10
+11
+-2
+-16
+9
+-4
+-3
+16
+33
+32
+26
+24
+11
+-2
+-1
+19
+35
+34
+27
+25
+13
+2
+4
+24
+39
+37
+28
+24
+15
+4
+8
+28
+43
+38
+27
+22
+14
+5
+10
+31
+45
+38
+25
+18
+12
+4
+9
+32
+45
+36
+20
+12
+9
+2
+8
+31
+44
+34
+16
+7
+7
+0
+7
+30
+42
+31
+13
+3
+9
+7
+3
+-1
+0
+8
+23
+34
+24
+23
+22
+20
+23
+32
+44
+53
+38
+38
+39
+42
+48
+56
+63
+66
+46
+46
+49
+56
+64
+67
+65
+62
+60
+59
+63
+71
+77
+74
+60
+48
+78
+75
+77
+84
+86
+74
+48
+26
+84
+79
+79
+83
+80
+59
+22
+-7
+79
+73
+70
+72
+67
+40
+-3
+-37
+40
+37
+33
+28
+24
+23
+24
+24
+36
+30
+23
+16
+13
+14
+18
+21
+24
+16
+4
+-6
+-8
+-3
+6
+12
+7
+-3
+-18
+-29
+-31
+-23
+-10
+-2
+-8
+-18
+-32
+-43
+-44
+-35
+-22
+-13
+-14
+-21
+-32
+-39
+-40
+-33
+-23
+-15
+-9
+-13
+-19
+-23
+-23
+-18
+-12
+-8
+-3
+-5
+-7
+-8
+-8
+-5
+-2
+0
+40
+32
+21
+8
+-1
+-7
+-8
+-8
+33
+24
+12
+1
+-5
+-4
+1
+4
+22
+12
+0
+-9
+-9
+1
+14
+23
+11
+2
+-11
+-17
+-11
+6
+27
+41
+5
+-3
+-13
+-17
+-8
+12
+35
+50
+4
+-2
+-9
+-10
+-1
+17
+36
+49
+6
+3
+0
+0
+8
+21
+34
+43
+9
+7
+6
+7
+13
+22
+32
+37
+-6
+-10
+-13
+-16
+-15
+-11
+-5
+-1
+-8
+-10
+-12
+-12
+-9
+-3
+3
+7
+-5
+-5
+-6
+-5
+-2
+3
+8
+11
+5
+4
+3
+1
+0
+0
+0
+1
+15
+13
+9
+3
+-5
+-12
+-18
+-22
+14
+11
+6
+-3
+-14
+-27
+-37
+-44
+0
+-1
+-5
+-12
+-23
+-37
+-49
+-56
+-13
+-13
+-15
+-20
+-29
+-41
+-53
+-59
+7
+10
+13
+12
+5
+-7
+-20
+-29
+1
+2
+3
+0
+-6
+-15
+-24
+-30
+-8
+-10
+-13
+-17
+-21
+-26
+-29
+-32
+-17
+-20
+-25
+-29
+-32
+-32
+-31
+-30
+-23
+-25
+-28
+-30
+-31
+-29
+-26
+-24
+-25
+-24
+-22
+-20
+-18
+-17
+-16
+-15
+-23
+-18
+-11
+-4
+-1
+-1
+-4
+-6
+-22
+-14
+-3
+7
+11
+9
+4
+0
+-11
+-7
+-1
+4
+5
+1
+-3
+-7
+-10
+-8
+-4
+0
+3
+4
+4
+3
+-9
+-9
+-7
+-3
+2
+9
+16
+19
+-9
+-9
+-9
+-5
+4
+15
+27
+34
+-8
+-8
+-7
+-1
+9
+22
+34
+42
+-9
+-6
+-1
+6
+16
+27
+37
+42
+-9
+-4
+5
+15
+24
+31
+35
+37
+-9
+-2
+9
+21
+29
+33
+34
+33
+14
+7
+2
+4
+10
+9
+-2
+-13
+13
+7
+3
+5
+9
+8
+-2
+-12
+11
+8
+5
+6
+8
+6
+-2
+-10
+9
+8
+8
+8
+7
+3
+-3
+-7
+6
+9
+11
+9
+5
+0
+-3
+-5
+4
+9
+14
+11
+4
+-3
+-4
+-3
+2
+10
+16
+12
+2
+-5
+-4
+-1
+1
+10
+17
+13
+2
+-6
+-5
+0
+29
+25
+24
+31
+39
+37
+26
+14
+33
+29
+28
+33
+39
+36
+24
+13
+38
+36
+35
+37
+38
+33
+21
+11
+44
+43
+43
+41
+36
+27
+15
+7
+47
+49
+49
+43
+31
+18
+7
+1
+49
+52
+52
+43
+25
+8
+-3
+-7
+49
+54
+54
+41
+19
+-1
+-12
+-14
+48
+54
+54
+40
+16
+-6
+-17
+-19
+0
+-10
+-24
+-31
+-24
+-6
+16
+31
+-1
+-9
+-19
+-24
+-20
+-7
+9
+20
+-2
+-6
+-11
+-14
+-13
+-7
+0
+4
+-3
+-3
+-3
+-4
+-6
+-8
+-10
+-11
+-4
+-2
+1
+1
+-2
+-8
+-15
+-20
+-4
+-2
+1
+2
+-1
+-8
+-15
+-20
+-4
+-3
+-1
+-1
+-3
+-7
+-12
+-16
+-4
+-4
+-3
+-3
+-5
+-7
+-10
+-12
+-36
+-26
+-14
+-10
+-9
+-1
+14
+27
+-12
+-3
+7
+11
+13
+20
+33
+44
+21
+28
+37
+42
+44
+49
+58
+65
+47
+52
+59
+64
+68
+71
+74
+77
+61
+63
+67
+73
+78
+79
+76
+73
+64
+64
+67
+73
+79
+78
+69
+61
+65
+62
+64
+71
+77
+74
+62
+50
+65
+62
+62
+69
+76
+72
+58
+44
+47
+44
+38
+26
+12
+3
+1
+1
+51
+45
+34
+19
+6
+-2
+-4
+-4
+56
+45
+28
+10
+-3
+-10
+-12
+-12
+58
+42
+20
+2
+-10
+-16
+-19
+-22
+54
+37
+14
+-2
+-10
+-16
+-24
+-31
+44
+29
+10
+0
+-4
+-11
+-25
+-38
+34
+21
+8
+5
+6
+-3
+-23
+-42
+27
+16
+7
+8
+12
+2
+-22
+-44
+15
+9
+1
+-5
+-6
+0
+8
+13
+17
+13
+7
+2
+0
+2
+6
+8
+20
+19
+16
+12
+8
+5
+3
+2
+21
+21
+21
+18
+13
+6
+0
+-4
+19
+19
+18
+15
+10
+3
+-3
+-7
+13
+11
+8
+4
+1
+-3
+-5
+-6
+6
+2
+-4
+-10
+-11
+-10
+-6
+-3
+1
+-5
+-13
+-19
+-19
+-14
+-6
+-1
+-31
+-14
+11
+32
+39
+30
+14
+2
+-22
+-9
+11
+28
+34
+29
+17
+8
+-12
+-4
+9
+19
+24
+23
+17
+13
+-6
+-4
+1
+5
+9
+11
+11
+11
+-6
+-7
+-7
+-7
+-5
+-1
+2
+4
+-4
+-6
+-8
+-9
+-8
+-6
+-3
+-1
+1
+0
+-1
+-2
+-2
+-2
+-2
+-2
+5
+6
+6
+6
+5
+3
+1
+0
+10
+14
+21
+25
+23
+16
+7
+1
+21
+22
+23
+22
+18
+12
+5
+1
+36
+32
+25
+17
+11
+6
+3
+2
+43
+35
+22
+9
+1
+-1
+0
+2
+35
+26
+12
+-1
+-7
+-7
+-2
+1
+13
+6
+-3
+-11
+-14
+-11
+-5
+0
+-14
+-16
+-19
+-20
+-18
+-12
+-6
+-2
+-32
+-31
+-29
+-25
+-20
+-13
+-7
+-3
+14
+7
+6
+6
+-3
+-14
+-10
+2
+13
+7
+6
+7
+-2
+-12
+-8
+5
+13
+7
+6
+8
+1
+-9
+-4
+10
+12
+6
+7
+10
+4
+-4
+2
+16
+11
+6
+8
+12
+8
+1
+8
+22
+10
+6
+8
+14
+11
+5
+13
+28
+9
+5
+9
+16
+14
+9
+17
+33
+9
+5
+9
+16
+15
+11
+20
+35
+28
+11
+-3
+0
+10
+4
+-20
+-45
+23
+8
+-4
+-1
+9
+4
+-19
+-42
+16
+3
+-6
+-1
+8
+5
+-15
+-35
+7
+-1
+-6
+-1
+8
+6
+-9
+-25
+0
+-4
+-5
+2
+11
+11
+-1
+-12
+-5
+-5
+-2
+7
+15
+16
+9
+1
+-8
+-5
+2
+12
+20
+22
+18
+13
+-9
+-4
+4
+15
+23
+25
+23
+20
+-3
+-17
+-26
+-19
+1
+16
+17
+10
+-3
+-16
+-25
+-19
+-1
+13
+15
+9
+-3
+-14
+-22
+-18
+-3
+9
+11
+7
+-3
+-11
+-19
+-17
+-7
+3
+6
+5
+-3
+-9
+-15
+-16
+-10
+-4
+1
+2
+-3
+-7
+-12
+-15
+-14
+-10
+-4
+0
+-3
+-5
+-9
+-14
+-17
+-14
+-7
+-2
+-3
+-4
+-8
+-14
+-18
+-17
+-10
+-3
+-17
+-7
+9
+20
+22
+12
+-1
+-11
+-21
+-10
+8
+20
+23
+13
+-1
+-11
+-27
+-14
+5
+19
+23
+15
+2
+-8
+-30
+-19
+-1
+14
+20
+16
+6
+-1
+-30
+-22
+-8
+5
+13
+15
+13
+10
+-27
+-23
+-17
+-8
+2
+12
+20
+24
+-21
+-23
+-24
+-20
+-8
+9
+27
+38
+-17
+-23
+-29
+-28
+-15
+7
+31
+46
+-3
+-4
+11
+13
+-4
+1
+22
+25
+-1
+-2
+13
+14
+-4
+1
+21
+24
+3
+1
+15
+15
+-3
+1
+20
+22
+6
+4
+16
+15
+-5
+-2
+16
+18
+7
+4
+16
+14
+-8
+-7
+10
+11
+6
+3
+13
+10
+-13
+-13
+3
+3
+4
+1
+10
+6
+-18
+-19
+-4
+-4
+3
+-1
+8
+3
+-22
+-23
+-9
+-8
+23
+25
+29
+33
+39
+43
+47
+49
+19
+23
+31
+39
+45
+48
+50
+50
+14
+22
+35
+48
+55
+57
+55
+52
+13
+24
+41
+56
+64
+64
+59
+55
+21
+31
+48
+62
+70
+69
+63
+58
+35
+43
+54
+64
+70
+70
+66
+62
+51
+54
+59
+64
+67
+68
+67
+65
+61
+61
+62
+63
+64
+66
+67
+67
+-1
+-11
+-26
+-38
+-40
+-34
+-23
+-15
+0
+-13
+-30
+-45
+-48
+-41
+-28
+-19
+0
+-15
+-37
+-54
+-59
+-50
+-34
+-23
+-2
+-18
+-41
+-59
+-64
+-54
+-37
+-24
+-5
+-19
+-39
+-55
+-58
+-48
+-32
+-20
+-10
+-19
+-32
+-42
+-42
+-33
+-20
+-11
+-15
+-18
+-24
+-26
+-23
+-16
+-6
+0
+-18
+-18
+-18
+-16
+-11
+-4
+3
+7
+-19
+-13
+7
+28
+35
+31
+36
+48
+-6
+-2
+12
+29
+31
+24
+27
+38
+8
+8
+15
+24
+20
+9
+10
+21
+12
+7
+7
+8
+-1
+-14
+-12
+0
+1
+-7
+-12
+-14
+-23
+-34
+-29
+-15
+-17
+-26
+-32
+-33
+-39
+-45
+-34
+-16
+-32
+-41
+-46
+-44
+-45
+-43
+-26
+-4
+-40
+-49
+-52
+-48
+-45
+-39
+-17
+7
+-6
+3
+6
+-7
+-26
+-35
+-26
+-14
+2
+8
+8
+-7
+-28
+-39
+-34
+-24
+13
+15
+10
+-8
+-31
+-45
+-45
+-39
+22
+19
+10
+-10
+-34
+-50
+-54
+-52
+23
+18
+5
+-14
+-35
+-51
+-58
+-60
+18
+10
+-3
+-19
+-35
+-48
+-56
+-59
+9
+1
+-12
+-24
+-34
+-43
+-50
+-55
+3
+-6
+-18
+-27
+-33
+-39
+-46
+-50
+-3
+-9
+-16
+-21
+-17
+-7
+6
+15
+-4
+-8
+-13
+-16
+-15
+-9
+-1
+4
+-5
+-6
+-7
+-9
+-11
+-12
+-12
+-13
+-4
+-3
+-2
+-2
+-7
+-14
+-21
+-26
+-1
+1
+3
+2
+-4
+-14
+-24
+-31
+3
+5
+5
+4
+-2
+-11
+-20
+-26
+9
+8
+6
+3
+-2
+-8
+-13
+-16
+12
+10
+6
+2
+-2
+-6
+-8
+-9
+20
+25
+27
+17
+2
+-8
+-6
+-1
+15
+21
+26
+20
+8
+-2
+-4
+-2
+5
+14
+23
+24
+17
+7
+1
+-1
+-6
+4
+16
+24
+23
+16
+7
+1
+-16
+-8
+5
+18
+24
+22
+13
+5
+-23
+-19
+-9
+6
+19
+23
+18
+11
+-27
+-27
+-21
+-6
+12
+22
+22
+17
+-29
+-32
+-29
+-15
+6
+21
+24
+20
+11
+10
+9
+9
+11
+14
+18
+21
+17
+14
+10
+8
+10
+16
+24
+29
+24
+18
+10
+6
+10
+21
+34
+42
+24
+16
+7
+4
+10
+26
+44
+56
+11
+5
+-1
+0
+11
+31
+52
+66
+-12
+-13
+-12
+-4
+13
+35
+57
+71
+-37
+-33
+-23
+-7
+14
+39
+60
+72
+-53
+-46
+-31
+-10
+15
+40
+60
+72
+-12
+-18
+-24
+-27
+-21
+-9
+5
+14
+-4
+-7
+-9
+-8
+-3
+7
+17
+24
+11
+13
+16
+21
+26
+31
+35
+38
+30
+35
+42
+49
+53
+53
+51
+50
+51
+56
+63
+68
+69
+65
+59
+55
+69
+72
+74
+75
+71
+65
+57
+53
+83
+82
+78
+73
+65
+57
+50
+46
+91
+87
+79
+69
+59
+50
+44
+41
+-22
+-21
+-15
+-2
+16
+28
+33
+32
+-29
+-30
+-26
+-13
+5
+20
+28
+30
+-35
+-38
+-36
+-24
+-4
+14
+27
+31
+-36
+-39
+-37
+-24
+-3
+19
+34
+41
+-32
+-33
+-28
+-11
+13
+36
+50
+56
+-30
+-26
+-14
+8
+34
+55
+66
+69
+-32
+-23
+-4
+23
+51
+70
+76
+75
+-35
+-23
+0
+32
+60
+77
+80
+76
+38
+37
+37
+34
+30
+24
+19
+16
+37
+38
+39
+38
+33
+25
+17
+12
+40
+43
+46
+46
+40
+29
+17
+9
+48
+51
+55
+55
+47
+34
+20
+10
+58
+59
+60
+57
+48
+34
+21
+12
+62
+60
+55
+46
+36
+25
+15
+10
+59
+52
+41
+27
+16
+8
+3
+2
+55
+45
+28
+12
+0
+-6
+-7
+-6
+-70
+-58
+-52
+-37
+-17
+-17
+-10
+18
+-60
+-49
+-44
+-31
+-12
+-13
+-6
+22
+-47
+-38
+-37
+-26
+-10
+-11
+-4
+24
+-41
+-35
+-37
+-30
+-16
+-19
+-13
+16
+-42
+-38
+-44
+-42
+-31
+-35
+-29
+0
+-44
+-42
+-52
+-54
+-46
+-51
+-45
+-16
+-42
+-42
+-55
+-60
+-54
+-61
+-55
+-25
+-40
+-41
+-55
+-62
+-57
+-64
+-58
+-29
+-11
+-23
+-30
+-29
+-32
+-40
+-37
+-27
+-6
+-18
+-24
+-22
+-25
+-33
+-31
+-21
+0
+-11
+-15
+-11
+-14
+-22
+-21
+-12
+5
+-4
+-6
+-1
+-2
+-11
+-11
+-4
+7
+-1
+-1
+7
+6
+-3
+-5
+2
+4
+-2
+0
+10
+10
+0
+-3
+2
+-1
+-5
+-1
+10
+10
+0
+-4
+1
+-4
+-8
+-3
+9
+9
+-1
+-6
+-1
+-22
+-17
+-8
+2
+11
+18
+21
+23
+-10
+-6
+2
+10
+18
+22
+24
+25
+-3
+0
+6
+11
+15
+17
+17
+17
+-5
+-3
+0
+3
+5
+4
+2
+0
+-3
+-1
+1
+3
+3
+0
+-3
+-5
+4
+5
+8
+9
+9
+7
+4
+2
+1
+3
+6
+8
+9
+7
+5
+3
+-10
+-7
+-4
+-1
+1
+0
+-2
+-3
+-28
+-25
+-18
+-7
+7
+21
+34
+41
+-14
+-13
+-11
+-6
+2
+10
+18
+23
+2
+0
+-3
+-5
+-4
+-3
+0
+2
+7
+4
+0
+-5
+-8
+-9
+-8
+-7
+3
+0
+-3
+-6
+-7
+-6
+-4
+-3
+-2
+-4
+-5
+-5
+-4
+0
+4
+6
+-1
+-2
+-3
+-3
+-1
+3
+7
+10
+4
+2
+0
+-1
+1
+3
+7
+9
+3
+3
+3
+3
+3
+3
+3
+3
+10
+10
+10
+10
+10
+10
+10
+10
+-1
+-1
+-1
+-1
+-1
+-1
+-1
+-1
+-8
+-8
+-8
+-8
+-8
+-8
+-8
+-8
+2
+2
+2
+2
+2
+2
+2
+2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-8
+-8
+-8
+-8
+-8
+-8
+-8
+-8
+3
+3
+3
+3
+3
+3
+3
+3
+29
+32
+35
+33
+23
+6
+-11
+-23
+28
+31
+35
+34
+26
+11
+-4
+-14
+15
+18
+23
+24
+18
+8
+-4
+-12
+-4
+-1
+4
+6
+3
+-3
+-12
+-17
+-9
+-6
+-2
+0
+-1
+-5
+-10
+-14
+3
+4
+6
+7
+6
+3
+0
+-2
+10
+10
+10
+9
+7
+5
+2
+1
+8
+7
+6
+4
+1
+-2
+-4
+-6
+-2
+-2
+-3
+-3
+-4
+-3
+-3
+-3
+3
+5
+8
+11
+12
+12
+12
+11
+-9
+-5
+2
+7
+9
+7
+4
+1
+-13
+-9
+-3
+1
+0
+-5
+-12
+-17
+2
+3
+5
+4
+0
+-7
+-14
+-19
+2
+0
+-3
+-7
+-11
+-14
+-17
+-18
+-4
+-8
+-14
+-19
+-18
+-13
+-7
+-2
+5
+0
+-7
+-10
+-5
+7
+21
+30
+3
+1
+-2
+-5
+-5
+-4
+0
+2
+5
+5
+6
+8
+11
+14
+16
+18
+-5
+-2
+1
+4
+5
+5
+4
+3
+-10
+-7
+-5
+-3
+-5
+-9
+-14
+-17
+-11
+-9
+-7
+-4
+-3
+-3
+-4
+-5
+-22
+-22
+-22
+-19
+-14
+-7
+0
+5
+-6
+-12
+-21
+-27
+-26
+-19
+-10
+-4
+39
+26
+6
+-11
+-21
+-20
+-14
+-8
+-61
+-48
+-27
+-4
+15
+27
+33
+34
+-45
+-34
+-16
+4
+20
+30
+35
+36
+-31
+-23
+-9
+5
+16
+23
+25
+26
+-25
+-19
+-11
+-3
+4
+7
+8
+8
+-13
+-10
+-7
+-3
+0
+1
+1
+1
+3
+3
+3
+4
+4
+5
+5
+5
+6
+5
+4
+2
+2
+3
+4
+5
+0
+-2
+-5
+-6
+-7
+-5
+-3
+-2
+13
+13
+13
+13
+13
+13
+13
+13
+25
+25
+25
+25
+25
+25
+25
+25
+10
+10
+10
+10
+10
+10
+10
+10
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-10
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+-3
+1
+1
+1
+1
+1
+1
+1
+1
+-4
+-4
+-4
+-4
+-4
+-4
+-4
+-4
+0
+0
+0
+0
+0
+0
+0
+0
+-9
+-8
+-5
+-2
+1
+4
+7
+8
+2
+3
+6
+8
+12
+14
+16
+18
+5
+6
+8
+10
+12
+15
+16
+17
+-5
+-4
+-3
+-1
+0
+2
+3
+4
+-8
+-8
+-7
+-6
+-5
+-4
+-4
+-3
+1
+1
+2
+2
+2
+2
+3
+3
+9
+9
+9
+9
+8
+8
+8
+8
+9
+8
+8
+7
+7
+6
+6
+6
+-7
+-7
+-9
+-10
+-12
+-14
+-15
+-16
+6
+5
+4
+2
+1
+-1
+-2
+-2
+9
+9
+8
+7
+6
+5
+4
+4
+-1
+-1
+-1
+-2
+-2
+-3
+-3
+-3
+-7
+-7
+-6
+-6
+-6
+-5
+-5
+-5
+-1
+-1
+0
+1
+2
+3
+4
+4
+2
+3
+4
+5
+7
+8
+9
+10
+-1
+0
+1
+3
+4
+6
+7
+8
+-19
+-17
+-13
+-8
+-3
+2
+6
+8
+0
+2
+5
+10
+14
+19
+22
+24
+-4
+-3
+0
+3
+6
+10
+12
+14
+-13
+-12
+-10
+-8
+-6
+-4
+-3
+-2
+2
+2
+3
+3
+4
+4
+5
+5
+9
+9
+8
+8
+7
+6
+5
+5
+4
+4
+2
+1
+-1
+-3
+-4
+-5
+8
+7
+5
+3
+0
+-2
+-4
+-4
+72
+66
+57
+46
+36
+28
+24
+22
+61
+55
+46
+36
+27
+21
+17
+15
+27
+22
+15
+6
+-1
+-6
+-9
+-10
+7
+3
+-3
+-10
+-15
+-18
+-19
+-19
+13
+9
+5
+0
+-3
+-4
+-3
+-3
+4
+2
+-2
+-4
+-5
+-4
+-2
+-1
+-13
+-15
+-17
+-19
+-18
+-15
+-12
+-10
+-13
+-15
+-16
+-17
+-15
+-12
+-8
+-5
+15
+15
+15
+16
+16
+16
+16
+16
+12
+14
+16
+19
+22
+26
+28
+29
+-8
+-6
+-2
+3
+9
+14
+18
+20
+-16
+-14
+-11
+-7
+-2
+2
+5
+7
+1
+1
+2
+3
+4
+5
+6
+6
+1
+1
+1
+1
+1
+0
+0
+0
+-9
+-8
+-6
+-5
+-2
+0
+1
+2
+-4
+-2
+2
+7
+12
+17
+20
+22
+20
+18
+15
+11
+6
+2
+-1
+-3
+21
+19
+16
+12
+8
+4
+1
+-1
+3
+2
+-1
+-4
+-8
+-12
+-14
+-16
+-4
+-6
+-8
+-11
+-14
+-17
+-19
+-20
+9
+8
+7
+5
+2
+0
+-2
+-3
+9
+8
+7
+6
+4
+3
+1
+1
+2
+2
+1
+0
+-1
+-2
+-3
+-3
+10
+10
+9
+9
+8
+7
+7
+6
+12
+13
+14
+15
+16
+17
+19
+19
+12
+13
+14
+15
+17
+18
+19
+19
+-6
+-5
+-4
+-3
+-2
+-1
+1
+1
+-14
+-14
+-13
+-12
+-10
+-9
+-8
+-7
+-2
+-1
+0
+1
+3
+4
+5
+5
+-2
+-2
+-1
+0
+2
+3
+4
+5
+-10
+-9
+-8
+-7
+-6
+-5
+-3
+-3
+-2
+-2
+-1
+1
+2
+3
+4
+5
+22
+19
+15
+9
+2
+-4
+-8
+-11
+37
+35
+30
+25
+19
+13
+9
+7
+25
+23
+20
+15
+10
+6
+2
+0
+7
+5
+3
+0
+-4
+-7
+-9
+-11
+11
+10
+9
+7
+5
+3
+2
+1
+9
+8
+8
+7
+7
+6
+6
+6
+-3
+-3
+-3
+-2
+-2
+-1
+-1
+-1
+-4
+-4
+-3
+-2
+-1
+0
+1
+1
+34
+23
+5
+-12
+-23
+-26
+-23
+-20
+18
+8
+-8
+-22
+-31
+-32
+-29
+-25
+8
+0
+-12
+-22
+-27
+-26
+-21
+-18
+9
+4
+-3
+-9
+-10
+-7
+-2
+2
+8
+5
+1
+-1
+-1
+3
+8
+12
+-3
+-4
+-5
+-6
+-4
+-1
+2
+5
+-9
+-9
+-10
+-9
+-8
+-6
+-4
+-3
+-7
+-7
+-7
+-7
+-6
+-5
+-4
+-3
+-43
+-45
+-46
+-43
+-34
+-21
+-7
+2
+-61
+-63
+-65
+-63
+-56
+-45
+-33
+-26
+-56
+-58
+-61
+-61
+-57
+-50
+-41
+-36
+-24
+-26
+-29
+-31
+-29
+-26
+-21
+-18
+-4
+-5
+-7
+-9
+-9
+-8
+-7
+-6
+-10
+-10
+-10
+-11
+-11
+-12
+-12
+-12
+-15
+-14
+-12
+-11
+-10
+-11
+-12
+-13
+-9
+-7
+-4
+-1
+0
+0
+-1
+-2
+-28
+-33
+-37
+-37
+-29
+-14
+3
+13
+-24
+-27
+-31
+-32
+-29
+-21
+-12
+-7
+-18
+-19
+-20
+-22
+-24
+-25
+-25
+-25
+-15
+-13
+-10
+-9
+-11
+-16
+-22
+-26
+-13
+-9
+-2
+3
+3
+-1
+-7
+-12
+-10
+-5
+2
+7
+10
+8
+5
+2
+-3
+-1
+2
+4
+6
+6
+5
+4
+3
+2
+1
+0
+-1
+-1
+-1
+-1
+18
+11
+1
+-6
+-6
+1
+11
+18
+1
+-5
+-15
+-22
+-22
+-15
+-5
+1
+-9
+-15
+-23
+-29
+-29
+-23
+-15
+-9
+-6
+-10
+-16
+-21
+-21
+-16
+-10
+-6
+-3
+-6
+-10
+-14
+-14
+-10
+-6
+-3
+-7
+-9
+-11
+-13
+-13
+-11
+-9
+-7
+-7
+-8
+-9
+-10
+-10
+-9
+-8
+-7
+-1
+-1
+-2
+-2
+-2
+-2
+-1
+-1
+3
+-13
+-34
+-51
+-53
+-40
+-21
+-7
+6
+-6
+-22
+-35
+-37
+-27
+-12
+-2
+9
+2
+-7
+-15
+-15
+-10
+-3
+3
+4
+3
+1
+-1
+-1
+0
+1
+2
+-3
+-2
+0
+2
+3
+2
+0
+-1
+-8
+-5
+-2
+0
+1
+1
+-1
+-2
+-6
+-4
+-3
+-1
+1
+2
+2
+3
+-2
+-2
+-2
+-1
+1
+4
+6
+8
+4
+3
+4
+3
+3
+3
+3
+3
+-4
+-3
+-3
+-2
+-1
+-1
+0
+0
+-1
+-1
+-1
+-1
+0
+0
+0
+0
+9
+8
+7
+4
+2
+0
+-2
+-3
+9
+7
+4
+0
+-4
+-8
+-11
+-13
+-6
+-7
+-8
+-9
+-10
+-12
+-13
+-13
+-18
+-16
+-12
+-6
+0
+5
+9
+12
+-20
+-15
+-7
+5
+17
+29
+37
+42
+-12
+-7
+1
+7
+10
+8
+5
+1
+6
+2
+-3
+-7
+-6
+-2
+4
+8
+9
+8
+6
+3
+-1
+-5
+-8
+-10
+5
+5
+4
+4
+5
+7
+9
+10
+-14
+-20
+-27
+-30
+-26
+-15
+-2
+7
+6
+10
+15
+15
+6
+-8
+-25
+-35
+42
+51
+62
+65
+57
+37
+15
+0
+6
+-1
+-9
+-10
+2
+25
+50
+67
+-2
+14
+17
+1
+-6
+2
+5
+-4
+-2
+12
+15
+1
+-6
+1
+4
+-3
+-3
+9
+12
+2
+-5
+-1
+2
+0
+-3
+4
+7
+2
+-3
+-3
+0
+2
+-4
+-2
+2
+3
+-2
+-6
+-2
+5
+-5
+-7
+-3
+4
+0
+-8
+-4
+8
+-5
+-11
+-6
+4
+1
+-10
+-6
+10
+-6
+-13
+-8
+5
+1
+-11
+-7
+11
+-6
+-5
+-2
+-1
+-1
+-2
+-5
+-6
+-3
+-2
+-1
+0
+0
+-1
+-2
+-3
+4
+4
+4
+4
+4
+4
+4
+4
+8
+6
+5
+4
+4
+5
+6
+8
+-6
+-8
+-12
+-14
+-14
+-12
+-8
+-6
+-29
+-32
+-38
+-42
+-42
+-38
+-32
+-29
+49
+44
+37
+32
+32
+37
+44
+49
+25
+20
+12
+7
+7
+12
+20
+25
+-8
+-4
+3
+9
+11
+8
+4
+1
+-5
+-3
+0
+2
+3
+2
+0
+-1
+0
+-2
+-5
+-7
+-8
+-7
+-5
+-4
+3
+-2
+-8
+-13
+-15
+-12
+-7
+-4
+2
+-2
+-8
+-12
+-13
+-9
+-4
+-1
+-2
+-3
+-4
+-4
+-3
+0
+4
+6
+-7
+-4
+1
+7
+11
+13
+13
+13
+-11
+-5
+5
+14
+20
+21
+19
+18
+-4
+-1
+5
+9
+10
+6
+2
+-2
+1
+1
+0
+1
+3
+7
+10
+13
+9
+8
+6
+3
+2
+1
+1
+1
+-8
+-5
+0
+3
+5
+2
+0
+-3
+-15
+-15
+-15
+-12
+-6
+2
+10
+15
+27
+15
+-2
+-17
+-21
+-15
+-3
+6
+45
+37
+24
+9
+-3
+-11
+-15
+-16
+5
+16
+30
+40
+37
+22
+3
+-10
+8
+6
+5
+3
+0
+-2
+-4
+-5
+5
+5
+5
+4
+4
+4
+4
+3
+2
+3
+4
+5
+6
+7
+8
+8
+1
+1
+1
+1
+1
+1
+1
+1
+1
+0
+-1
+-3
+-5
+-7
+-8
+-9
+-3
+-3
+-3
+-4
+-4
+-4
+-5
+-5
+-10
+-8
+-5
+0
+5
+10
+13
+15
+-16
+-12
+-5
+4
+14
+23
+30
+34
+2
+-11
+-14
+-5
+-2
+-11
+-13
+-8
+-3
+-4
+-5
+-6
+-9
+-9
+-2
+8
+-3
+9
+13
+4
+-7
+-9
+-6
+-6
+-17
+-2
+8
+6
+5
+6
+-4
+-18
+-13
+-15
+-16
+-11
+3
+13
+8
+-3
+36
+11
+-9
+-12
+-13
+-16
+-12
+-1
+50
+29
+22
+24
+-4
+-40
+-33
+3
+4
+6
+37
+63
+26
+-32
+-23
+31
+-6
+0
+7
+5
+-2
+-9
+-9
+-6
+4
+3
+1
+-3
+-6
+-5
+0
+3
+2
+-2
+-5
+-3
+1
+3
+3
+0
+-9
+-10
+-8
+0
+7
+7
+-2
+-11
+-5
+-7
+-9
+-7
+-4
+-3
+-4
+-6
+9
+8
+2
+-9
+-16
+-13
+-1
+11
+5
+20
+31
+23
+2
+-9
+-3
+9
+-13
+23
+60
+63
+35
+3
+-9
+-7
+24
+13
+3
+2
+7
+6
+-4
+-15
+-8
+-6
+-4
+-4
+-6
+-6
+-4
+-2
+-13
+-2
+7
+5
+-5
+-8
+1
+12
+-1
+4
+7
+3
+-5
+-10
+-6
+-1
+-9
+-15
+-19
+-17
+-11
+-9
+-14
+-20
+-11
+-19
+-22
+-12
+5
+15
+11
+3
+1
+2
+5
+13
+22
+29
+33
+33
+5
+15
+24
+23
+16
+15
+23
+33
+7
+8
+8
+4
+0
+0
+6
+11
+-3
+-4
+-3
+1
+6
+5
+0
+-6
+6
+-2
+-8
+-8
+-4
+-5
+-14
+-24
+1
+-1
+-3
+-1
+6
+16
+25
+31
+-13
+-4
+2
+-1
+-9
+-12
+-6
+2
+-22
+-13
+-2
+0
+-8
+-19
+-27
+-30
+0
+-10
+-14
+1
+26
+44
+44
+37
+69
+22
+-26
+-32
+0
+29
+29
+16
+-17
+-9
+-1
+2
+-1
+-7
+-11
+-12
+-9
+-4
+1
+3
+0
+-3
+-5
+-5
+5
+5
+6
+6
+6
+6
+5
+5
+13
+9
+5
+6
+9
+11
+10
+8
+-3
+-8
+-12
+-9
+-2
+0
+-6
+-13
+-34
+-38
+-40
+-32
+-22
+-22
+-35
+-48
+34
+31
+34
+47
+59
+56
+35
+15
+4
+2
+9
+25
+38
+33
+7
+-17
+3
+4
+5
+2
+0
+3
+9
+15
+-3
+-1
+1
+2
+2
+4
+8
+11
+-10
+-7
+-3
+2
+5
+7
+6
+6
+-11
+-10
+-7
+0
+7
+8
+4
+-1
+-2
+-7
+-8
+-3
+5
+8
+2
+-4
+15
+3
+-8
+-7
+1
+6
+1
+-6
+34
+15
+-6
+-12
+-4
+4
+1
+-5
+47
+23
+-4
+-14
+-7
+2
+1
+-5
+18
+12
+5
+3
+4
+1
+-5
+-12
+-3
+-6
+-7
+-1
+9
+15
+14
+11
+9
+3
+-3
+-4
+-3
+-4
+-10
+-16
+3
+-1
+-3
+1
+7
+11
+9
+5
+-8
+-12
+-16
+-14
+-9
+-7
+-10
+-15
+1
+-5
+-12
+-15
+-15
+-18
+-25
+-32
+-6
+-7
+-4
+7
+22
+33
+36
+35
+-13
+-14
+-12
+-3
+11
+20
+22
+21
+-10
+-4
+0
+-4
+-4
+-2
+-6
+-14
+-1
+2
+3
+1
+1
+3
+2
+-2
+-13
+-13
+-11
+-6
+0
+6
+13
+17
+21
+12
+6
+7
+4
+-1
+1
+8
+-12
+-22
+-22
+-9
+-6
+-12
+-8
+5
+-24
+-34
+-27
+-5
+0
+-12
+-11
+3
+48
+32
+33
+47
+36
+1
+-16
+-8
+12
+1
+13
+43
+43
+13
+0
+11
+7
+6
+7
+6
+6
+5
+6
+5
+6
+5
+4
+1
+-1
+-3
+-5
+-6
+-1
+-1
+0
+2
+3
+5
+6
+6
+-3
+-3
+-4
+-5
+-6
+-6
+-7
+-7
+0
+-3
+-7
+-13
+-19
+-24
+-28
+-31
+-11
+-9
+-6
+-2
+2
+6
+9
+11
+-17
+-13
+-4
+7
+19
+30
+38
+43
+-8
+-7
+-5
+-2
+1
+3
+5
+6
+-5
+0
+-21
+-20
+21
+26
+8
+17
+-4
+-8
+-22
+-19
+8
+24
+29
+39
+8
+-9
+-12
+-11
+-10
+12
+38
+43
+18
+-5
+1
+0
+-22
+-7
+24
+18
+9
+-9
+3
+6
+-19
+-11
+10
+-2
+-2
+-11
+-5
+-1
+-6
+0
+8
+1
+16
+10
+-8
+-12
+5
+11
+5
+10
+46
+39
+-3
+-20
+9
+11
+-3
+10
+-17
+3
+51
+47
+-17
+-38
+-8
+4
+-20
+-15
+22
+45
+15
+-20
+-17
+-1
+-19
+-26
+-12
+30
+47
+8
+-24
+-13
+-11
+-14
+-30
+-4
+48
+34
+-18
+-30
+0
+10
+-25
+-38
+19
+45
+2
+-39
+3
+21
+-10
+-48
+-13
+40
+23
+-28
+-3
+8
+1
+-30
+-26
+24
+38
+-1
+-12
+-11
+4
+-7
+-25
+11
+44
+23
+-6
+-2
+-5
+-12
+-8
+3
+3
+-7
+-7
+-1
+-2
+-9
+-7
+2
+1
+-8
+-10
+0
+3
+-3
+-4
+2
+0
+-10
+-19
+-3
+6
+2
+-1
+2
+-2
+-10
+-35
+-12
+5
+4
+0
+1
+-2
+-10
+-54
+-25
+0
+4
+0
+1
+-2
+-7
+-73
+-39
+-7
+2
+0
+0
+0
+-5
+-85
+-47
+-11
+0
+-1
+0
+0
+-3
+4
+1
+-1
+-1
+-1
+-5
+-11
+-17
+3
+1
+-1
+-2
+-3
+-6
+-11
+-15
+2
+1
+0
+-2
+-5
+-8
+-9
+-10
+0
+1
+1
+-4
+-9
+-10
+-7
+-4
+-2
+1
+2
+-5
+-12
+-13
+-5
+3
+-4
+1
+2
+-6
+-16
+-16
+-4
+9
+-5
+1
+3
+-6
+-18
+-18
+-2
+14
+-6
+1
+3
+-7
+-20
+-19
+-2
+17
+-29
+-14
+12
+30
+26
+6
+-11
+-16
+-6
+1
+13
+19
+11
+-2
+-9
+-8
+16
+18
+15
+6
+-4
+-7
+-3
+2
+17
+21
+15
+0
+-8
+-3
+6
+10
+2
+14
+15
+0
+-5
+7
+14
+11
+-9
+11
+14
+-2
+-5
+10
+16
+7
+-3
+17
+15
+-9
+-15
+4
+12
+1
+9
+25
+16
+-17
+-26
+-4
+7
+-3
+-8
+-11
+-17
+-21
+-21
+-17
+-11
+-8
+-7
+-9
+-11
+-13
+-13
+-11
+-9
+-7
+-6
+-4
+-2
+0
+0
+-2
+-4
+-6
+-5
+-1
+5
+8
+8
+5
+-1
+-5
+-3
+1
+6
+10
+10
+6
+1
+-3
+-2
+0
+2
+3
+3
+2
+0
+-2
+-1
+-3
+-5
+-6
+-6
+-5
+-3
+-1
+-1
+-4
+-10
+-14
+-14
+-10
+-4
+-1
+62
+11
+-18
+-12
+-9
+-14
+-13
+-9
+24
+36
+4
+-25
+-9
+-6
+-17
+-8
+-18
+52
+30
+-27
+-11
+-2
+-18
+-5
+-34
+35
+42
+-2
+-15
+-12
+-12
+-1
+-22
+-5
+35
+33
+-16
+-27
+-2
+4
+-6
+-31
+17
+48
+-9
+-29
+4
+7
+-3
+-27
+1
+31
+4
+-15
+2
+9
+-9
+-11
+-8
+7
+14
+2
+-4
+9
+-15
+-47
+6
+4
+15
+28
+-37
+-24
+-13
+-45
+8
+6
+18
+30
+-35
+-21
+-9
+-41
+12
+10
+22
+34
+-31
+-17
+-6
+-38
+15
+13
+25
+37
+-28
+-14
+-5
+-36
+17
+15
+26
+39
+-27
+-13
+-5
+-37
+16
+14
+26
+38
+-27
+-14
+-7
+-39
+14
+12
+24
+36
+-29
+-15
+-8
+-40
+13
+11
+22
+35
+-30
+-17
+-11
+-4
+-1
+-3
+3
+9
+0
+-16
+-12
+-5
+-3
+-5
+1
+7
+-2
+-18
+-13
+-7
+-5
+-7
+-2
+3
+-6
+-22
+-13
+-7
+-6
+-9
+-5
+0
+-9
+-26
+-11
+-5
+-5
+-8
+-5
+-1
+-11
+-28
+-8
+-2
+-2
+-6
+-3
+0
+-10
+-28
+-4
+2
+2
+-3
+-1
+2
+-9
+-26
+-1
+4
+4
+-1
+1
+4
+-8
+-25
+-24
+5
+9
+16
+36
+9
+-35
+-39
+-25
+-6
+3
+10
+20
+11
+-4
+-3
+14
+11
+17
+9
+-16
+-22
+-15
+-16
+22
+12
+29
+24
+-15
+-13
+13
+9
+11
+3
+21
+17
+-24
+-28
+-8
+-15
+16
+14
+20
+10
+-18
+-30
+-27
+-32
+10
+19
+15
+15
+27
+29
+30
+42
+6
+17
+-4
+-11
+17
+20
+14
+35
+-12
+-28
+-31
+-16
+19
+53
+22
+-54
+12
+-3
+-14
+-21
+-6
+34
+37
+-4
+8
+2
+-5
+-25
+-32
+2
+29
+19
+-9
+-6
+1
+-10
+-26
+-8
+13
+5
+-13
+-15
+-5
+-6
+-17
+-8
+-1
+-15
+-26
+-40
+-39
+-38
+-46
+-38
+-30
+-41
+46
+33
+36
+37
+21
+23
+36
+34
+21
+18
+35
+39
+14
+4
+16
+19
+-25
+-43
+-8
+18
+-1
+5
+11
+-27
+-4
+-26
+-2
+20
+4
+10
+11
+-28
+-4
+-23
+-1
+24
+18
+19
+6
+-37
+-27
+-36
+-11
+22
+30
+30
+9
+-33
+-27
+-34
+-20
+6
+24
+36
+31
+10
+-2
+-12
+-18
+-14
+1
+23
+43
+52
+6
+4
+-8
+-20
+-19
+-8
+12
+34
+-10
+3
+0
+-14
+-26
+-36
+-32
+-15
+-34
+-39
+-29
+-1
+30
+36
+12
+-15
+4
+-12
+-27
+-22
+-2
+16
+22
+20
+25
+13
+-5
+-18
+-19
+-9
+6
+16
+-16
+-5
+4
+1
+-10
+-15
+-8
+1
+-45
+-26
+-9
+-9
+-19
+-18
+0
+20
+4
+6
+-3
+-26
+-45
+-37
+-3
+29
+42
+40
+26
+-2
+-26
+-28
+-5
+19
+13
+28
+42
+40
+25
+13
+14
+21
+15
+-11
+-17
+-10
+-5
+6
+5
+-17
+19
+-8
+-15
+-9
+-6
+4
+2
+-20
+24
+-4
+-12
+-8
+-7
+2
+-2
+-25
+27
+-1
+-10
+-7
+-7
+0
+-5
+-29
+27
+-1
+-10
+-7
+-7
+0
+-5
+-29
+24
+-4
+-12
+-8
+-7
+2
+-2
+-25
+19
+-8
+-15
+-9
+-6
+4
+2
+-20
+15
+-11
+-17
+-10
+-5
+6
+5
+-17
+-7
+23
+8
+30
+-6
+-18
+-26
+-30
+-8
+21
+8
+30
+-5
+-14
+-21
+-23
+-10
+20
+6
+30
+-2
+-9
+-12
+-13
+-11
+18
+4
+29
+-1
+-5
+-5
+-3
+-11
+18
+2
+26
+-3
+-4
+-2
+1
+-9
+18
+0
+23
+-8
+-8
+-5
+0
+-6
+19
+-1
+19
+-13
+-14
+-10
+-5
+-5
+20
+-2
+17
+-16
+-18
+-14
+-9
+35
+35
+-9
+-35
+12
+-12
+-63
+4
+3
+44
+19
+-32
+-3
+-9
+-48
+5
+-32
+48
+46
+-25
+-14
+-4
+-32
+7
+-40
+35
+44
+-14
+-7
+0
+-27
+9
+-24
+10
+18
+-4
+10
+-1
+-32
+10
+-5
+-8
+-4
+-1
+18
+-7
+-39
+9
+-2
+-11
+-6
+-5
+7
+-15
+-41
+6
+-8
+-6
+2
+-10
+-8
+-21
+-39
+4
+11
+22
+17
+-29
+-5
+-8
+3
+-6
+13
+24
+19
+-27
+-3
+-6
+4
+-4
+16
+27
+21
+-24
+0
+-3
+7
+-1
+18
+29
+23
+-22
+2
+-1
+9
+1
+18
+29
+23
+-22
+2
+-1
+9
+1
+16
+27
+21
+-24
+0
+-3
+7
+-1
+13
+24
+19
+-27
+-3
+-6
+4
+-4
+11
+22
+17
+-29
+-5
+-8
+3
+-6
+7
+-2
+1
+8
+-2
+-17
+-9
+14
+3
+1
+2
+2
+-6
+-12
+-3
+12
+-1
+5
+4
+-7
+-11
+-3
+6
+9
+-4
+6
+2
+-15
+-15
+5
+14
+8
+-3
+3
+-4
+-20
+-15
+9
+19
+12
+2
+-3
+-14
+-21
+-11
+9
+20
+19
+8
+-11
+-24
+-19
+-5
+6
+17
+27
+12
+-16
+-30
+-17
+-2
+4
+15
+33
+4
+19
+9
+-8
+-6
+-4
+-5
+3
+7
+28
+29
+0
+-16
+-1
+6
+-8
+9
+26
+44
+16
+-23
+-3
+15
+-16
+8
+-2
+31
+34
+-17
+-15
+12
+-8
+5
+-40
+-1
+45
+3
+-27
+-3
+8
+3
+-56
+-26
+46
+27
+-27
+-19
+16
+6
+-42
+-29
+37
+43
+-12
+-26
+8
+9
+-20
+-21
+29
+50
+3
+-28
+-4
+-4
+1
+-13
+-5
+-16
+-31
+-2
+11
+-2
+3
+-11
+-3
+-14
+-29
+0
+13
+1
+6
+-8
+-1
+-11
+-26
+2
+16
+3
+8
+-6
+1
+-9
+-24
+4
+18
+3
+8
+-6
+1
+-9
+-24
+4
+18
+1
+6
+-8
+-1
+-11
+-26
+2
+16
+-2
+3
+-11
+-3
+-14
+-29
+0
+13
+-4
+1
+-13
+-5
+-16
+-31
+-2
+11
+12
+32
+-33
+-5
+-6
+-5
+1
+-6
+14
+33
+-31
+-4
+-4
+-3
+3
+-4
+17
+36
+-28
+-1
+-1
+0
+5
+-2
+19
+38
+-26
+1
+1
+2
+7
+0
+19
+38
+-26
+1
+1
+2
+7
+0
+17
+36
+-28
+-1
+-1
+0
+5
+-2
+14
+33
+-31
+-4
+-4
+-3
+3
+-4
+12
+32
+-33
+-5
+-6
+-5
+1
+-6
+-34
+6
+10
+-1
+26
+-24
+-20
+-18
+-33
+8
+12
+1
+28
+-21
+-17
+-15
+-30
+11
+15
+5
+33
+-16
+-12
+-9
+-29
+12
+17
+7
+36
+-12
+-7
+-5
+-29
+12
+18
+9
+38
+-10
+-4
+-1
+-32
+10
+16
+7
+38
+-9
+-4
+0
+-35
+7
+14
+6
+36
+-10
+-4
+0
+-37
+5
+12
+4
+35
+-11
+-5
+-1
+-29
+34
+38
+-2
+-11
+-11
+-7
+16
+-34
+16
+30
+0
+-18
+-16
+-9
+0
+-30
+0
+27
+13
+-17
+-13
+-1
+-11
+-17
+-5
+29
+29
+-5
+-1
+12
+-12
+-11
+-10
+20
+28
+1
+4
+13
+-13
+-14
+-15
+0
+9
+1
+0
+0
+-13
+-10
+-6
+-10
+-6
+8
+3
+-7
+-2
+0
+8
+-9
+-8
+20
+12
+-5
+13
+-11
+4
+3
+1
+13
+13
+13
+29
+-2
+4
+-7
+-10
+6
+11
+12
+26
+3
+1
+-17
+-19
+4
+11
+7
+15
+-4
+-5
+-20
+-16
+11
+14
+-4
+-7
+-19
+-12
+-14
+-1
+24
+16
+-17
+-30
+-30
+-13
+-4
+11
+30
+12
+-25
+-40
+-31
+-7
+5
+15
+25
+4
+-27
+-35
+-27
+-2
+9
+13
+17
+-3
+-26
+-26
+-9
+-26
+-1
+22
+2
+-10
+-1
+-5
+12
+-20
+-13
+10
+1
+-8
+-2
+-5
+43
+-10
+-27
+-7
+-1
+-6
+-4
+-3
+62
+3
+-34
+-22
+-5
+-4
+-5
+-2
+58
+17
+-25
+-29
+-9
+-2
+-3
+0
+31
+28
+-3
+-27
+-15
+0
+-1
+1
+-4
+38
+24
+-20
+-19
+1
+3
+2
+-29
+42
+42
+-14
+-22
+1
+5
+3
+1
+0
+-11
+-5
+-20
+-22
+11
+2
+2
+0
+-10
+-4
+-20
+-22
+11
+2
+3
+1
+-9
+-3
+-19
+-20
+12
+3
+4
+3
+-8
+-2
+-18
+-19
+13
+5
+5
+4
+-6
+0
+-16
+-18
+15
+6
+7
+5
+-5
+1
+-15
+-17
+16
+7
+8
+6
+-4
+2
+-14
+-15
+17
+8
+8
+7
+-4
+2
+-13
+-15
+18
+9
+7
+21
+9
+-7
+1
+4
+-3
+0
+7
+21
+9
+-7
+1
+4
+-3
+0
+7
+21
+9
+-7
+1
+4
+-3
+0
+7
+21
+9
+-7
+1
+4
+-3
+0
+7
+21
+9
+-7
+1
+4
+-3
+0
+7
+21
+9
+-7
+1
+4
+-3
+0
+7
+21
+9
+-7
+1
+4
+-3
+0
+7
+21
+9
+-7
+1
+4
+-3
+0
+6
+-1
+-10
+-18
+-19
+-12
+-1
+8
+-11
+3
+13
+4
+-13
+-18
+-3
+15
+-11
+3
+15
+13
+2
+-6
+-2
+7
+5
+-3
+-8
+-1
+9
+12
+1
+-11
+4
+-4
+-13
+-12
+-4
+1
+-2
+-7
+-18
+-1
+10
+-1
+-22
+-27
+-8
+14
+-30
+1
+26
+16
+-15
+-29
+-10
+17
+-24
+0
+23
+24
+6
+-9
+-6
+2
+-5
+-9
+12
+3
+9
+33
+11
+-12
+-12
+-40
+3
+10
+-13
+13
+12
+-20
+2
+-44
+16
+37
+-8
+10
+26
+-2
+-24
+-54
+2
+30
+4
+12
+25
+29
+-51
+-48
+-16
+0
+11
+8
+-3
+34
+14
+29
+33
+20
+35
+19
+-21
+13
+43
+44
+49
+27
+27
+24
+-15
+-17
+-35
+-47
+-18
+-22
+-24
+6
+-9
+-40
+4
+-16
+-9
+-10
+3
+14
+-16
+-24
+-14
+-19
+1
+-3
+-5
+-8
+-39
+-42
+-27
+-20
+13
+11
+2
+-6
+-35
+-33
+-31
+-28
+4
+7
+10
+11
+-13
+-12
+-7
+-21
+-6
+-3
+12
+24
+-2
+-7
+37
+14
+18
+15
+28
+39
+8
+-2
+33
+21
+36
+31
+34
+35
+3
+-3
+-19
+-13
+20
+19
+13
+8
+-19
+-18
+25
+11
+17
+1
+-39
+-40
+-15
+-14
+37
+20
+19
+9
+-19
+-19
+-6
+-14
+5
+7
+23
+22
+-1
+-5
+5
+4
+-32
+-2
+34
+37
+9
+-11
+-10
+-4
+-25
+2
+29
+40
+32
+6
+-21
+-31
+-20
+-13
+-8
+19
+56
+52
+14
+-10
+-26
+-18
+-24
+-7
+36
+47
+33
+35
+-15
+9
+0
+-17
+-11
+-11
+4
+45
+-34
+-36
+-31
+-17
+-1
+6
+-1
+-11
+1
+-15
+-25
+-13
+9
+18
+4
+-14
+12
+-10
+-26
+-12
+17
+29
+12
+-11
+-14
+-23
+-24
+-5
+21
+29
+15
+-4
+-25
+-15
+2
+18
+25
+20
+7
+-3
+0
+14
+30
+35
+26
+10
+-2
+-8
+26
+26
+25
+22
+16
+7
+-4
+-10
+31
+15
+-2
+-5
+2
+6
+1
+-7
+-11
+-7
+-7
+-8
+-3
+-3
+-23
+-48
+-26
+-19
+-12
+1
+21
+31
+14
+-12
+-1
+-9
+-18
+-12
+15
+40
+35
+14
+18
+6
+-9
+-10
+12
+28
+14
+-12
+1
+1
+0
+7
+26
+32
+7
+-25
+0
+-4
+-13
+-16
+2
+28
+36
+30
+7
+1
+-17
+-36
+-29
+1
+30
+42
+-13
+0
+4
+-10
+-22
+-22
+-21
+-25
+-36
+-35
+-31
+-24
+-13
+-3
+5
+9
+-12
+-5
+0
+-3
+-8
+-5
+9
+22
+-7
+2
+5
+-6
+-22
+-23
+-4
+16
+-5
+-3
+-5
+-12
+-18
+-12
+5
+20
+-13
+-23
+-30
+-25
+-9
+6
+13
+14
+4
+-9
+-17
+-6
+17
+32
+28
+18
+39
+33
+30
+36
+45
+46
+35
+24
+16
+19
+22
+19
+10
+-4
+-17
+-25
+17
+-10
+-15
+-4
+3
+14
+10
+-17
+35
+5
+-6
+1
+5
+12
+1
+-30
+32
+-1
+-14
+-5
+4
+13
+0
+-33
+30
+-3
+-16
+-9
+-3
+4
+-10
+-43
+36
+9
+-2
+0
+-3
+-1
+-11
+-39
+10
+-3
+3
+10
+6
+14
+20
+7
+-15
+-15
+3
+11
+-1
+6
+24
+24
+-2
+1
+16
+9
+-24
+-31
+-16
+-14
+14
+17
+15
+27
+-16
+-3
+-4
+0
+0
+10
+13
+27
+-19
+-9
+-9
+-2
+-11
+7
+19
+35
+-14
+-5
+-3
+8
+-8
+11
+23
+34
+-22
+-20
+-21
+-12
+-5
+8
+13
+22
+-33
+-31
+-34
+-27
+-12
+-5
+-1
+21
+-11
+12
+17
+26
+9
+4
+-3
+22
+2
+36
+42
+46
+53
+32
+3
+10
+-22
+0
+-8
+-15
+-12
+7
+14
+10
+1
+-21
+-19
+13
+-20
+-11
+-13
+-10
+-5
+-21
+-25
+-2
+-8
+3
+2
+0
+-1
+-19
+-20
+7
+-8
+9
+11
+2
+-12
+-35
+-30
+6
+-11
+-11
+-22
+-22
+-12
+-22
+-26
+-5
+26
+-2
+-32
+-15
+34
+43
+24
+20
+38
+12
+-18
+-4
+38
+45
+29
+28
+-8
+-10
+-25
+-30
+-26
+-36
+-36
+-12
+8
+28
+29
+-14
+-12
+11
+-4
+2
+11
+32
+38
+-1
+1
+19
+0
+5
+20
+29
+23
+-20
+-19
+5
+-2
+14
+21
+29
+28
+-7
+-6
+9
+-3
+15
+-8
+7
+22
+3
+5
+3
+-25
+-14
+16
+14
+12
+-15
+-13
+-7
+-18
+10
+52
+38
+24
+-7
+-6
+6
+6
+46
+-6
+-4
+9
+-2
+0
+-10
+-35
+-12
+3
+-36
+-10
+13
+-11
+10
+31
+-11
+-5
+-25
+-3
+18
+7
+17
+23
+-8
+-26
+-19
+-3
+13
+21
+16
+1
+-15
+-38
+-9
+0
+11
+33
+16
+-15
+-14
+-48
+-12
+-7
+-2
+22
+2
+-29
+-18
+-10
+18
+20
+20
+35
+21
+3
+17
+28
+40
+41
+37
+37
+33
+36
+48
+-22
+-22
+-21
+-28
+-38
+-34
+-18
+-7
+1
+0
+-40
+-24
+50
+35
+-26
+-24
+-12
+10
+-9
+-11
+43
+54
+7
+-24
+-17
+11
+3
+-26
+-8
+35
+20
+-34
+13
+16
+8
+-31
+-45
+6
+29
+-14
+10
+-13
+-11
+-21
+-45
+-14
+24
+9
+-7
+-30
+-11
+14
+2
+6
+29
+32
+3
+-7
+9
+34
+35
+28
+32
+37
+-16
+-17
+-21
+-19
+-13
+-17
+-22
+-19
+0
+11
+2
+11
+-4
+-27
+-3
+8
+-19
+0
+-1
+5
+-17
+-42
+-11
+9
+-21
+3
+6
+13
+-12
+-37
+-2
+23
+-23
+-8
+-12
+-1
+-13
+-32
+-4
+11
+-11
+-15
+-32
+-13
+-6
+-18
+-3
+-6
+40
+26
+0
+22
+38
+30
+36
+22
+48
+37
+12
+31
+41
+29
+37
+26
+-11
+-14
+-34
+-20
+-20
+-36
+-24
+-26
+12
+34
+-25
+10
+14
+12
+8
+-7
+8
+31
+-28
+4
+6
+2
+-1
+-15
+25
+43
+-23
+1
+-2
+-3
+2
+-5
+11
+39
+-15
+15
+8
+-4
+-9
+-22
+-6
+31
+-14
+19
+9
+-9
+-18
+-33
+42
+59
+-16
+-6
+-19
+-12
+14
+27
+49
+63
+-17
+-12
+-24
+-12
+26
+47
+-31
+16
+-18
+19
+7
+-11
+-15
+-24
+-31
+7
+25
+16
+25
+-21
+-1
+0
+-27
+13
+34
+26
+34
+-18
+-8
+-12
+-21
+12
+24
+14
+29
+-12
+10
+12
+-39
+-6
+9
+3
+22
+-21
+-5
+-10
+-52
+-14
+8
+10
+28
+-25
+-27
+-45
+-14
+6
+7
+0
+29
+0
+26
+26
+23
+28
+9
+-11
+21
+8
+50
+62
+16
+29
+20
+2
+19
+-24
+-14
+-26
+-24
+15
+23
+8
+7
+4
+-7
+-8
+-3
+6
+-6
+-10
+10
+11
+-9
+-18
+-7
+-7
+-16
+-8
+12
+0
+-22
+-22
+-17
+-4
+7
+19
+18
+-13
+-25
+-1
+-11
+-6
+-7
+-3
+-4
+-27
+-28
+5
+44
+26
+-8
+-16
+3
+9
+11
+29
+61
+56
+25
+7
+27
+41
+41
+46
+-29
+4
+4
+-17
+-18
+-15
+-18
+-14
+-4
+3
+9
+4
+-6
+-11
+-7
+0
+0
+11
+21
+18
+4
+-11
+-20
+-22
+5
+11
+16
+12
+1
+-12
+-19
+-21
+15
+11
+5
+-2
+-5
+-3
+4
+9
+1
+-5
+-12
+-16
+-16
+-13
+-11
+-10
+13
+15
+18
+22
+20
+7
+-12
+-27
+34
+37
+45
+54
+54
+38
+11
+-10
+-18
+-19
+-16
+-7
+0
+-6
+-23
+-39
+-38
+14
+49
+13
+-34
+-19
+12
+7
+-29
+4
+40
+27
+-12
+-14
+6
+6
+-25
+-20
+14
+34
+8
+-16
+-12
+-7
+-17
+-35
+-4
+40
+27
+-11
+-20
+-13
+-20
+-45
+-20
+26
+20
+-13
+-24
+-21
+11
+-5
+12
+38
+33
+23
+20
+12
+35
+37
+46
+41
+34
+53
+62
+43
+-26
+-11
+-6
+-33
+-43
+-4
+15
+-12
+5
+-3
+-2
+4
+-3
+-14
+-2
+23
+3
+-3
+0
+5
+-2
+-14
+-7
+12
+0
+-2
+2
+6
+-1
+-13
+-14
+-5
+-2
+-1
+3
+5
+0
+-11
+-17
+-19
+-2
+1
+3
+1
+-1
+-5
+-14
+-24
+0
+3
+0
+-5
+-3
+2
+-5
+-19
+3
+5
+-2
+-12
+-5
+9
+6
+-10
+5
+6
+-4
+-16
+-7
+14
+14
+-2
+10
+24
+21
+-2
+-12
+-1
+9
+7
+9
+24
+24
+1
+-14
+-8
+2
+2
+15
+26
+23
+-1
+-21
+-19
+-5
+4
+14
+21
+19
+1
+-18
+-21
+-10
+2
+-9
+1
+15
+21
+12
+-4
+-15
+-16
+-31
+-22
+5
+38
+46
+22
+-10
+-28
+-17
+-30
+-19
+18
+44
+37
+13
+-2
+14
+-25
+-45
+-18
+21
+37
+37
+37
+-16
+-1
+15
+17
+6
+-7
+-11
+-11
+11
+7
+1
+-3
+-4
+-6
+-8
+-11
+4
+-8
+-19
+-17
+-5
+1
+-4
+-13
+-1
+-3
+-3
+2
+6
+2
+-11
+-22
+8
+14
+18
+12
+-2
+-14
+-20
+-20
+-21
+-16
+-14
+-22
+-30
+-26
+-7
+10
+-22
+-23
+-24
+-22
+-13
+2
+21
+34
+38
+31
+26
+31
+42
+47
+40
+32
+-28
+11
+42
+19
+-19
+-12
+3
+-12
+-16
+8
+32
+16
+-16
+-12
+2
+-8
+2
+5
+19
+14
+-11
+-12
+1
+-1
+15
+3
+10
+12
+-7
+-11
+1
+4
+17
+4
+11
+14
+-5
+-10
+3
+5
+6
+9
+23
+18
+-7
+-8
+5
+3
+-10
+14
+38
+23
+-10
+-6
+8
+-2
+-21
+18
+49
+26
+-12
+-5
+10
+-5
+-38
+6
+31
+9
+-18
+-17
+-9
+-13
+-16
+3
+32
+21
+-15
+-16
+4
+1
+-2
+-15
+23
+35
+-16
+-22
+9
+3
+-6
+-41
+10
+44
+-14
+-31
+1
+-10
+-13
+-49
+7
+54
+0
+-25
+-4
+-18
+-9
+-35
+12
+52
+13
+-11
+1
+-8
+-6
+-18
+6
+27
+7
+-7
+2
+5
+-8
+-11
+-7
+-3
+-9
+-14
+-4
+11
+-6
+11
+13
+-3
+-10
+-2
+1
+-8
+2
+16
+19
+5
+-2
+5
+8
+1
+1
+12
+16
+5
+-1
+3
+6
+3
+-7
+0
+3
+-2
+-7
+-7
+-4
+-2
+-8
+-6
+-2
+-1
+-6
+-10
+-6
+2
+-1
+-3
+1
+8
+3
+-5
+0
+12
+-2
+-7
+-2
+8
+5
+-6
+-2
+14
+-10
+-17
+-12
+1
+-3
+-15
+-10
+8
+-13
+-15
+-11
+-2
+1
+-3
+-2
+2
+1
+-2
+-2
+-1
+-4
+-10
+-14
+-13
+-11
+-10
+-8
+-7
+-4
+-2
+0
+0
+-14
+-9
+-7
+-10
+-6
+4
+9
+6
+12
+18
+11
+-6
+-11
+-3
+-3
+-12
+20
+29
+20
+-5
+-10
+3
+4
+-9
+23
+35
+25
+-3
+-8
+11
+15
+0
+48
+57
+41
+3
+-10
+3
+2
+-18
+-4
+5
+-7
+7
+9
+-2
+4
+-12
+-4
+5
+-7
+5
+7
+-4
+5
+-8
+-4
+6
+-7
+3
+3
+-7
+7
+-2
+-4
+7
+-7
+0
+-3
+-10
+10
+6
+-3
+8
+-7
+-4
+-9
+-14
+13
+16
+-3
+9
+-7
+-7
+-14
+-17
+16
+24
+-3
+9
+-7
+-9
+-18
+-20
+18
+31
+-3
+10
+-7
+-10
+-21
+-22
+19
+34
+-37
+-16
+15
+24
+24
+-31
+-10
+-12
+-32
+-23
+6
+27
+36
+-24
+-8
+-10
+-23
+-32
+-8
+32
+53
+-14
+-6
+-7
+-14
+-38
+-20
+32
+63
+-7
+-4
+-3
+-7
+-37
+-24
+26
+60
+-6
+-1
+-1
+-3
+-28
+-22
+14
+44
+-11
+1
+0
+-1
+-17
+-15
+0
+24
+-19
+3
+-1
+-1
+-9
+-10
+-8
+9
+-26
+3
+-1
+6
+6
+6
+6
+6
+6
+6
+6
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+6
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+-2
+6
+6
+6
+6
+6
+6
+6
+6
+-3
+-7
+-12
+-16
+-16
+-12
+-7
+-3
+-5
+-6
+-9
+-10
+-10
+-9
+-6
+-5
+-7
+-6
+-4
+-2
+-2
+-4
+-6
+-7
+-9
+-5
+0
+4
+4
+0
+-5
+-9
+-9
+-5
+0
+4
+4
+0
+-5
+-9
+-7
+-6
+-4
+-2
+-2
+-4
+-6
+-7
+-5
+-6
+-9
+-10
+-10
+-9
+-6
+-5
+-3
+-7
+-12
+-16
+-16
+-12
+-7
+-3
+57
+52
+42
+29
+16
+3
+-6
+-12
+-23
+-22
+-20
+-16
+-13
+-10
+-8
+-6
+-17
+-15
+-11
+-6
+0
+5
+9
+11
+0
+0
+0
+0
+1
+1
+1
+1
+-13
+-11
+-8
+-4
+0
+4
+7
+8
+-4
+-2
+0
+3
+6
+9
+12
+13
+-4
+-5
+-7
+-9
+-12
+-14
+-15
+-16
+-12
+-12
+-13
+-13
+-14
+-14
+-15
+-15
+-9
+8
+22
+15
+-4
+-11
+2
+19
+-9
+-7
+-6
+-7
+-9
+-9
+-8
+-6
+9
+-4
+-15
+-10
+6
+11
+1
+-13
+16
+2
+-9
+-3
+12
+18
+7
+-7
+0
+-2
+-5
+-4
+-1
+1
+-2
+-4
+-5
+2
+7
+4
+-3
+-6
+-1
+6
+-4
+2
+6
+4
+-2
+-5
+0
+5
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-16
+-106
+-107
+-107
+-108
+-109
+-110
+-111
+-111
+-112
+-112
+-113
+-114
+-115
+-116
+-116
+-117
+-113
+-114
+-114
+-115
+-116
+-117
+-118
+-118
+-111
+-112
+-112
+-113
+-114
+-115
+-116
+-116
+-114
+-114
+-115
+-116
+-117
+-118
+-118
+-119
+-117
+-117
+-118
+-119
+-119
+-120
+-121
+-121
+-108
+-109
+-109
+-110
+-111
+-112
+-113
+-113
+-96
+-96
+-97
+-98
+-98
+-99
+-100
+-100
+-112
+-112
+-112
+-112
+-112
+-112
+-112
+-112
+-115
+-115
+-115
+-115
+-115
+-115
+-115
+-115
+-114
+-114
+-114
+-114
+-114
+-114
+-114
+-114
+-111
+-111
+-111
+-111
+-111
+-111
+-111
+-111
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-118
+-118
+-118
+-118
+-118
+-118
+-118
+-118
+-112
+-112
+-112
+-112
+-112
+-112
+-112
+-112
+-101
+-101
+-101
+-101
+-101
+-101
+-101
+-101
+-110
+-110
+-110
+-110
+-110
+-110
+-110
+-110
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-113
+-112
+-112
+-112
+-112
+-112
+-112
+-112
+-112
+-109
+-109
+-109
+-109
+-109
+-109
+-109
+-109
+-111
+-111
+-111
+-111
+-111
+-111
+-111
+-111
+-116
+-116
+-116
+-116
+-116
+-116
+-116
+-116
+-110
+-110
+-110
+-110
+-110
+-110
+-110
+-110
+-99
+-99
+-99
+-99
+-99
+-99
+-99
+-99
+-25
+-23
+-19
+-14
+-8
+-3
+1
+3
+-10
+-9
+-6
+-2
+2
+6
+9
+10
+1
+1
+2
+4
+5
+7
+8
+8
+1
+1
+0
+0
+-1
+-2
+-2
+-2
+1
+1
+0
+-1
+-3
+-4
+-5
+-5
+2
+2
+2
+1
+0
+0
+-1
+-1
+-8
+-7
+-7
+-6
+-5
+-5
+-4
+-4
+-23
+-22
+-20
+-19
+-17
+-15
+-14
+-13
+2
+2
+2
+2
+2
+2
+2
+2
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-5
+-4
+-4
+-4
+-4
+-4
+-4
+-4
+-4
+5
+5
+5
+5
+5
+5
+5
+5
+7
+7
+7
+7
+7
+7
+7
+7
+0
+0
+0
+0
+0
+0
+0
+0
+1
+1
+1
+1
+1
+1
+1
+1
+9
+9
+9
+9
+9
+9
+9
+9
+-13
+-12
+-14
+-23
+-29
+-22
+-4
+12
+-27
+-29
+-34
+-42
+-44
+-33
+-10
+8
+-37
+-43
+-51
+-58
+-53
+-34
+-5
+16
+-36
+-45
+-56
+-60
+-48
+-21
+11
+33
+-36
+-47
+-58
+-58
+-40
+-9
+23
+44
+-36
+-47
+-56
+-51
+-28
+3
+30
+46
+-25
+-35
+-41
+-32
+-7
+22
+44
+54
+-10
+-19
+-23
+-11
+15
+42
+59
+66
+21
+31
+41
+40
+27
+8
+-7
+-15
+35
+41
+44
+36
+18
+-1
+-14
+-20
+52
+51
+44
+28
+7
+-10
+-18
+-20
+57
+52
+39
+20
+0
+-11
+-11
+-8
+53
+46
+33
+15
+1
+-3
+4
+12
+47
+42
+32
+17
+7
+7
+17
+27
+48
+45
+36
+24
+14
+14
+22
+31
+52
+49
+42
+29
+18
+16
+22
+29
+-5
+-10
+-15
+-15
+-4
+15
+35
+49
+-2
+-8
+-15
+-15
+-4
+18
+42
+57
+2
+-6
+-15
+-16
+-3
+22
+50
+68
+3
+-5
+-16
+-17
+-3
+24
+53
+73
+0
+-7
+-16
+-17
+-4
+21
+48
+66
+-7
+-12
+-17
+-16
+-5
+14
+35
+48
+-15
+-17
+-18
+-15
+-7
+6
+19
+27
+-21
+-20
+-19
+-15
+-8
+1
+9
+13
+5
+-6
+-19
+-26
+-25
+-21
+-19
+-20
+11
+-3
+-21
+-32
+-33
+-30
+-28
+-28
+24
+6
+-18
+-35
+-40
+-38
+-36
+-35
+39
+19
+-8
+-29
+-37
+-36
+-35
+-34
+48
+30
+4
+-15
+-22
+-24
+-24
+-24
+46
+32
+13
+0
+-5
+-7
+-10
+-13
+33
+24
+15
+10
+8
+6
+-1
+-7
+21
+16
+13
+13
+14
+11
+3
+-5
+29
+18
+5
+-1
+2
+8
+11
+11
+49
+37
+23
+15
+17
+20
+22
+22
+65
+51
+35
+24
+22
+24
+23
+21
+63
+49
+30
+17
+12
+11
+9
+6
+59
+44
+24
+10
+4
+1
+-3
+-6
+58
+44
+24
+10
+3
+0
+-3
+-7
+53
+38
+19
+6
+0
+-2
+-5
+-8
+42
+28
+10
+-3
+-8
+-9
+-11
+-14
+-2
+-5
+-9
+-11
+-9
+-4
+2
+6
+14
+13
+12
+12
+16
+22
+29
+34
+21
+21
+24
+29
+36
+45
+53
+58
+7
+10
+17
+26
+37
+48
+57
+62
+-6
+0
+10
+23
+36
+48
+56
+60
+-5
+2
+15
+30
+44
+54
+62
+65
+0
+8
+22
+37
+51
+61
+66
+68
+0
+9
+23
+39
+52
+60
+65
+66
+24
+22
+23
+26
+29
+26
+15
+6
+31
+31
+33
+37
+38
+30
+16
+3
+43
+44
+49
+54
+52
+38
+16
+-1
+55
+58
+64
+68
+63
+44
+18
+-2
+64
+67
+71
+74
+68
+48
+20
+-1
+69
+70
+71
+72
+65
+47
+23
+4
+71
+68
+66
+64
+59
+45
+25
+10
+71
+66
+61
+58
+53
+43
+27
+15
+-20
+-17
+-16
+-21
+-29
+-34
+-33
+-30
+-14
+-7
+-1
+-3
+-9
+-15
+-15
+-13
+-16
+-5
+7
+12
+9
+3
+0
+0
+-27
+-13
+5
+15
+14
+8
+4
+3
+-30
+-16
+3
+14
+15
+11
+7
+7
+-27
+-14
+1
+10
+11
+9
+9
+11
+-30
+-21
+-11
+-6
+-6
+-5
+-1
+3
+-40
+-33
+-27
+-25
+-25
+-23
+-17
+-11
+7
+7
+7
+11
+18
+29
+39
+45
+1
+-1
+-1
+0
+5
+12
+20
+24
+6
+4
+2
+1
+2
+4
+8
+10
+22
+21
+18
+16
+14
+13
+12
+12
+29
+29
+28
+27
+25
+23
+21
+19
+20
+22
+25
+28
+29
+28
+26
+24
+10
+15
+23
+30
+35
+36
+35
+34
+9
+15
+25
+36
+43
+47
+47
+47
+54
+55
+57
+54
+45
+31
+17
+8
+37
+38
+39
+35
+26
+12
+-1
+-10
+25
+25
+23
+18
+8
+-5
+-17
+-25
+23
+21
+17
+10
+-1
+-13
+-24
+-31
+20
+17
+11
+1
+-10
+-22
+-32
+-37
+18
+13
+4
+-7
+-19
+-30
+-39
+-43
+27
+21
+10
+-3
+-15
+-26
+-33
+-37
+41
+34
+23
+9
+-4
+-14
+-21
+-24
+11
+11
+11
+11
+11
+11
+11
+11
+-15
+-14
+-13
+-12
+-10
+-8
+-7
+-7
+-38
+-36
+-33
+-30
+-26
+-22
+-19
+-18
+-45
+-43
+-38
+-33
+-27
+-21
+-17
+-14
+-46
+-43
+-38
+-32
+-25
+-19
+-14
+-11
+-46
+-44
+-39
+-34
+-28
+-22
+-17
+-15
+-38
+-36
+-33
+-29
+-24
+-19
+-16
+-14
+-27
+-25
+-22
+-19
+-15
+-12
+-9
+-8
+12
+13
+16
+19
+22
+25
+28
+29
+-2
+-1
+2
+4
+7
+10
+12
+14
+-10
+-9
+-7
+-5
+-3
+0
+1
+2
+-9
+-8
+-7
+-5
+-4
+-2
+-1
+0
+-10
+-9
+-9
+-8
+-7
+-6
+-5
+-5
+-13
+-12
+-12
+-12
+-12
+-11
+-11
+-11
+-5
+-5
+-5
+-5
+-6
+-6
+-6
+-6
+8
+8
+7
+7
+6
+6
+6
+5
+-6
+2
+0
+1
+10
+7
+21
+56
+-5
+3
+0
+1
+9
+6
+19
+54
+-4
+5
+1
+1
+7
+3
+16
+51
+-1
+6
+2
+0
+5
+0
+11
+46
+1
+8
+2
+0
+3
+-4
+7
+41
+3
+10
+3
+-1
+1
+-7
+2
+36
+5
+12
+4
+-1
+0
+-9
+-1
+32
+6
+12
+4
+-2
+-1
+-11
+-3
+30
+43
+27
+16
+26
+49
+61
+53
+40
+38
+31
+28
+36
+49
+53
+43
+32
+31
+37
+45
+51
+50
+41
+29
+20
+22
+40
+59
+63
+51
+32
+17
+10
+14
+37
+62
+68
+53
+29
+13
+6
+7
+29
+55
+65
+55
+34
+18
+10
+2
+20
+43
+57
+56
+43
+27
+17
+0
+13
+34
+51
+57
+49
+34
+22
+-9
+-4
+6
+17
+26
+33
+37
+38
+-4
+-1
+5
+13
+22
+30
+37
+40
+5
+4
+5
+8
+16
+26
+37
+43
+12
+9
+5
+5
+11
+23
+36
+44
+16
+12
+7
+6
+11
+21
+32
+40
+16
+13
+11
+10
+14
+20
+28
+32
+13
+13
+15
+16
+19
+21
+23
+24
+10
+13
+17
+21
+22
+22
+20
+19
+7
+4
+4
+11
+20
+20
+9
+-2
+9
+4
+2
+6
+14
+15
+8
+0
+12
+5
+0
+0
+4
+7
+6
+3
+13
+7
+0
+-4
+-4
+0
+4
+6
+13
+10
+4
+-2
+-6
+-4
+2
+6
+10
+12
+11
+5
+-2
+-4
+0
+5
+7
+14
+19
+15
+5
+-2
+-1
+2
+5
+15
+24
+22
+10
+0
+-2
+1
+-2
+2
+2
+-10
+-28
+-39
+-38
+-34
+-6
+0
+2
+-6
+-20
+-31
+-32
+-30
+-10
+-4
+2
+0
+-9
+-18
+-22
+-23
+-12
+-7
+0
+3
+0
+-6
+-12
+-16
+-8
+-7
+-3
+1
+3
+1
+-4
+-9
+1
+-4
+-8
+-6
+-1
+2
+-1
+-5
+11
+0
+-12
+-15
+-8
+-1
+0
+-2
+18
+2
+-15
+-20
+-13
+-4
+0
+-1
+36
+34
+31
+28
+28
+29
+32
+33
+36
+36
+36
+36
+36
+35
+35
+34
+30
+34
+39
+43
+43
+40
+35
+32
+16
+22
+31
+38
+39
+34
+27
+21
+-2
+5
+14
+21
+23
+19
+12
+7
+-16
+-11
+-5
+1
+4
+2
+-1
+-3
+-21
+-20
+-17
+-14
+-11
+-9
+-7
+-6
+-21
+-22
+-22
+-21
+-18
+-13
+-8
+-5
+-5
+4
+13
+13
+8
+8
+17
+26
+-1
+7
+15
+16
+12
+13
+21
+29
+4
+10
+17
+19
+19
+21
+27
+33
+7
+10
+15
+19
+23
+27
+32
+35
+6
+7
+10
+16
+24
+30
+33
+34
+2
+0
+1
+9
+20
+28
+30
+28
+-4
+-8
+-8
+1
+16
+25
+25
+21
+-8
+-13
+-14
+-4
+12
+22
+21
+16
+29
+27
+24
+19
+10
+1
+-8
+-13
+34
+31
+25
+17
+8
+1
+-4
+-7
+41
+35
+24
+13
+4
+0
+-1
+0
+44
+35
+21
+8
+-1
+-3
+0
+2
+38
+29
+16
+2
+-6
+-8
+-6
+-3
+26
+19
+8
+-3
+-11
+-15
+-16
+-16
+11
+7
+1
+-7
+-15
+-22
+-28
+-31
+1
+0
+-3
+-9
+-17
+-27
+-35
+-41
+4
+7
+12
+18
+25
+32
+37
+39
+5
+8
+15
+21
+26
+28
+28
+27
+-1
+5
+15
+24
+27
+24
+19
+15
+-17
+-7
+8
+21
+27
+25
+18
+12
+-35
+-23
+-5
+13
+24
+26
+23
+19
+-43
+-32
+-15
+3
+16
+23
+25
+25
+-38
+-31
+-19
+-6
+6
+15
+20
+23
+-30
+-26
+-19
+-11
+-1
+8
+14
+18
+-11
+-2
+-4
+-5
+-1
+-10
+-2
+30
+-12
+-3
+-5
+-6
+-2
+-10
+-2
+30
+-14
+-5
+-7
+-7
+-2
+-10
+-2
+31
+-17
+-8
+-9
+-9
+-3
+-10
+-2
+31
+-20
+-10
+-12
+-10
+-4
+-11
+-1
+32
+-23
+-13
+-14
+-12
+-5
+-11
+-1
+32
+-26
+-15
+-16
+-13
+-6
+-11
+-1
+33
+-27
+-16
+-17
+-14
+-6
+-11
+-1
+33
+-5
+-9
+-15
+-20
+-18
+-12
+-4
+1
+-18
+-19
+-20
+-18
+-11
+0
+10
+17
+-32
+-29
+-23
+-13
+1
+15
+27
+34
+-36
+-30
+-19
+-4
+10
+23
+33
+38
+-29
+-22
+-9
+5
+16
+23
+26
+27
+-23
+-14
+-1
+12
+19
+20
+17
+14
+-23
+-13
+2
+15
+21
+18
+12
+6
+-27
+-15
+1
+16
+22
+19
+11
+4
+6
+3
+0
+-4
+-5
+-4
+-2
+-1
+11
+11
+11
+10
+8
+7
+5
+4
+16
+19
+23
+25
+24
+19
+13
+9
+13
+19
+26
+30
+29
+22
+13
+6
+5
+11
+18
+22
+21
+14
+5
+-2
+-1
+2
+6
+8
+7
+2
+-4
+-8
+-2
+-2
+-3
+-4
+-5
+-7
+-8
+-9
+0
+-3
+-6
+-10
+-11
+-10
+-8
+-7
+-3
+4
+20
+43
+61
+63
+49
+34
+-2
+2
+16
+35
+53
+58
+50
+40
+-1
+1
+9
+24
+40
+50
+52
+49
+0
+0
+3
+12
+25
+39
+49
+54
+0
+0
+1
+4
+12
+26
+42
+52
+0
+2
+2
+2
+4
+14
+30
+43
+0
+4
+6
+3
+0
+5
+19
+31
+0
+5
+8
+4
+-2
+0
+11
+23
+20
+14
+9
+6
+8
+8
+7
+4
+28
+21
+13
+7
+5
+5
+5
+5
+41
+33
+21
+9
+1
+0
+3
+6
+51
+44
+30
+14
+0
+-4
+1
+7
+54
+51
+41
+23
+4
+-4
+0
+7
+50
+53
+50
+34
+13
+0
+1
+7
+42
+52
+56
+45
+22
+5
+2
+7
+35
+50
+60
+51
+28
+8
+3
+7
+23
+26
+27
+23
+14
+6
+2
+1
+18
+22
+26
+24
+16
+6
+-2
+-6
+12
+17
+23
+26
+21
+8
+-6
+-16
+9
+13
+20
+26
+24
+11
+-9
+-24
+11
+13
+17
+25
+26
+14
+-8
+-27
+19
+15
+15
+21
+25
+17
+-4
+-23
+29
+20
+13
+17
+24
+19
+1
+-17
+36
+23
+12
+14
+22
+21
+5
+-12
+13
+12
+11
+10
+4
+-8
+-24
+-36
+12
+12
+12
+9
+3
+-9
+-23
+-33
+11
+12
+12
+9
+0
+-11
+-22
+-28
+9
+12
+13
+8
+-3
+-14
+-20
+-22
+7
+12
+14
+7
+-7
+-16
+-18
+-15
+5
+12
+15
+6
+-10
+-19
+-16
+-9
+4
+12
+16
+5
+-12
+-21
+-15
+-4
+3
+12
+16
+5
+-14
+-22
+-14
+-2
+-22
+-12
+-11
+-7
+2
+-3
+9
+42
+-20
+-9
+-8
+-4
+6
+2
+14
+48
+-18
+-7
+-5
+0
+11
+8
+20
+55
+-16
+-5
+-3
+2
+13
+10
+22
+57
+-9
+2
+2
+6
+15
+11
+22
+56
+7
+17
+16
+17
+23
+16
+25
+58
+30
+39
+35
+33
+36
+27
+34
+66
+46
+54
+49
+46
+47
+36
+42
+73
+4
+-3
+-7
+-2
+9
+15
+11
+4
+5
+-2
+-5
+-1
+8
+13
+9
+3
+6
+1
+-1
+1
+7
+9
+7
+2
+7
+5
+3
+3
+5
+4
+3
+1
+8
+9
+9
+6
+2
+-1
+-1
+0
+9
+12
+13
+8
+0
+-5
+-4
+-1
+10
+15
+17
+10
+-2
+-9
+-7
+-2
+11
+17
+19
+11
+-3
+-11
+-9
+-3
+11
+16
+17
+7
+-8
+-18
+-17
+-12
+7
+11
+12
+3
+-10
+-19
+-18
+-14
+1
+4
+4
+-2
+-11
+-17
+-17
+-15
+-3
+-2
+-3
+-6
+-10
+-13
+-13
+-13
+-3
+-5
+-6
+-6
+-4
+-4
+-5
+-7
+0
+-4
+-6
+-2
+5
+8
+6
+2
+5
+0
+-3
+3
+14
+20
+17
+12
+9
+3
+0
+7
+20
+27
+24
+18
+-14
+-7
+3
+8
+7
+-2
+-13
+-21
+-15
+-11
+-4
+1
+1
+-3
+-9
+-14
+-17
+-15
+-13
+-10
+-7
+-5
+-4
+-3
+-18
+-19
+-20
+-19
+-14
+-7
+1
+6
+-17
+-20
+-22
+-22
+-16
+-7
+4
+10
+-15
+-17
+-19
+-18
+-13
+-5
+3
+9
+-13
+-13
+-12
+-11
+-7
+-3
+2
+4
+-11
+-10
+-8
+-5
+-3
+-1
+0
+0
+-12
+-8
+-8
+-18
+-25
+-14
+16
+42
+-9
+-5
+-6
+-15
+-21
+-11
+16
+39
+-5
+-2
+-2
+-9
+-14
+-6
+16
+34
+-2
+1
+1
+-4
+-7
+-1
+13
+26
+0
+1
+2
+0
+-2
+1
+9
+15
+0
+0
+1
+1
+2
+2
+3
+3
+-2
+-2
+-1
+1
+3
+1
+-3
+-8
+-3
+-4
+-3
+1
+3
+0
+-7
+-14
+53
+61
+56
+51
+48
+30
+31
+58
+46
+54
+50
+46
+44
+28
+28
+56
+33
+42
+39
+37
+37
+23
+26
+54
+17
+28
+27
+27
+30
+19
+23
+53
+3
+15
+16
+19
+25
+16
+23
+54
+-9
+4
+7
+13
+22
+16
+24
+56
+-16
+-2
+2
+10
+21
+17
+27
+60
+-20
+-6
+0
+9
+21
+18
+28
+62
+-1
+1
+6
+11
+16
+21
+24
+25
+-1
+0
+1
+4
+9
+15
+20
+23
+0
+-3
+-5
+-5
+-2
+5
+13
+18
+-2
+-6
+-11
+-13
+-11
+-5
+3
+9
+-6
+-9
+-13
+-16
+-15
+-11
+-6
+-3
+-12
+-12
+-12
+-12
+-13
+-14
+-15
+-16
+-17
+-13
+-9
+-6
+-8
+-14
+-22
+-27
+-21
+-15
+-6
+-1
+-3
+-13
+-25
+-33
+12
+8
+6
+1
+-14
+-27
+-18
+1
+13
+9
+7
+2
+-14
+-27
+-18
+1
+14
+10
+8
+3
+-12
+-25
+-16
+3
+12
+8
+7
+4
+-10
+-22
+-12
+7
+6
+3
+4
+4
+-7
+-16
+-4
+17
+-4
+-5
+0
+3
+-4
+-9
+6
+28
+-13
+-12
+-5
+2
+-1
+-2
+16
+40
+-19
+-17
+-8
+1
+1
+2
+22
+47
+8
+17
+24
+19
+4
+-9
+-13
+-12
+7
+15
+22
+19
+6
+-5
+-9
+-8
+6
+13
+20
+19
+11
+2
+-2
+-3
+7
+12
+17
+19
+15
+9
+4
+1
+13
+14
+17
+20
+20
+15
+7
+1
+21
+19
+19
+22
+23
+18
+7
+-2
+30
+25
+22
+23
+26
+20
+6
+-7
+35
+28
+24
+24
+27
+20
+4
+-10
+-8
+-15
+-24
+-29
+-28
+-19
+-7
+1
+-12
+-16
+-20
+-23
+-21
+-14
+-6
+0
+-18
+-17
+-15
+-12
+-9
+-5
+-2
+-1
+-22
+-17
+-9
+-2
+3
+4
+3
+2
+-23
+-16
+-5
+5
+12
+13
+11
+9
+-19
+-13
+-3
+8
+16
+19
+20
+19
+-14
+-10
+-2
+8
+17
+23
+28
+30
+-11
+-8
+-2
+6
+16
+25
+32
+36
+14
+9
+2
+-6
+-10
+-10
+-8
+-7
+19
+12
+2
+-7
+-11
+-9
+-5
+-2
+27
+18
+5
+-6
+-10
+-7
+1
+6
+34
+24
+9
+-3
+-7
+-3
+6
+13
+37
+29
+16
+5
+1
+4
+11
+16
+36
+31
+23
+16
+12
+11
+14
+15
+33
+32
+30
+27
+23
+18
+15
+12
+30
+32
+34
+34
+30
+22
+15
+9
+-15
+-7
+1
+-1
+-7
+-9
+-1
+7
+-14
+-6
+0
+-1
+-7
+-8
+-2
+5
+-10
+-6
+-1
+-2
+-6
+-7
+-2
+2
+-6
+-5
+-3
+-3
+-5
+-5
+-4
+-2
+-2
+-3
+-5
+-5
+-3
+-3
+-5
+-6
+2
+-2
+-7
+-6
+-2
+-1
+-6
+-10
+6
+-2
+-8
+-7
+-1
+0
+-6
+-13
+7
+-1
+-9
+-7
+-1
+1
+-7
+-15
+28
+27
+20
+4
+-12
+-17
+-9
+1
+21
+22
+18
+6
+-9
+-15
+-12
+-5
+10
+14
+15
+9
+-2
+-11
+-15
+-14
+1
+6
+11
+11
+4
+-6
+-16
+-21
+-2
+1
+7
+11
+9
+0
+-13
+-22
+0
+0
+3
+9
+11
+6
+-7
+-17
+6
+2
+1
+6
+12
+11
+0
+-10
+10
+3
+-1
+4
+12
+13
+5
+-5
+-18
+-17
+-13
+-7
+2
+12
+21
+26
+-29
+-27
+-22
+-13
+-2
+10
+20
+26
+-38
+-35
+-29
+-20
+-9
+4
+15
+22
+-32
+-30
+-27
+-21
+-13
+-3
+5
+10
+-12
+-13
+-13
+-13
+-10
+-6
+-1
+2
+9
+7
+4
+2
+1
+2
+4
+6
+22
+20
+18
+16
+16
+18
+21
+23
+27
+26
+24
+25
+27
+31
+35
+38
+21
+19
+15
+10
+5
+0
+-4
+-6
+20
+17
+11
+4
+-4
+-11
+-17
+-20
+9
+5
+-1
+-10
+-19
+-28
+-34
+-38
+-16
+-18
+-23
+-29
+-36
+-42
+-46
+-49
+-40
+-41
+-42
+-44
+-45
+-47
+-48
+-49
+-45
+-45
+-44
+-44
+-42
+-42
+-41
+-41
+-30
+-30
+-30
+-31
+-31
+-31
+-31
+-32
+-12
+-13
+-15
+-18
+-21
+-23
+-25
+-26
+-1
+-8
+-16
+-20
+-15
+-1
+15
+26
+-8
+-11
+-14
+-13
+-6
+6
+19
+27
+-15
+-12
+-6
+2
+12
+21
+28
+32
+-13
+-5
+8
+22
+32
+37
+37
+37
+-3
+7
+23
+37
+44
+44
+40
+36
+9
+17
+30
+40
+42
+38
+29
+23
+16
+21
+28
+32
+29
+20
+10
+2
+18
+21
+23
+22
+16
+5
+-6
+-14
+22
+18
+10
+0
+-11
+-22
+-30
+-34
+24
+17
+7
+-5
+-15
+-23
+-27
+-28
+25
+16
+3
+-11
+-20
+-22
+-21
+-19
+24
+14
+-2
+-16
+-22
+-21
+-15
+-10
+18
+9
+-5
+-17
+-21
+-18
+-10
+-4
+9
+3
+-7
+-14
+-16
+-13
+-7
+-2
+-1
+-3
+-7
+-9
+-10
+-8
+-6
+-4
+-7
+-7
+-6
+-6
+-6
+-6
+-6
+-6
+-2
+-7
+-7
+3
+19
+30
+30
+25
+1
+-1
+1
+11
+24
+30
+26
+19
+-1
+2
+9
+20
+29
+29
+21
+13
+-10
+-3
+10
+22
+27
+24
+14
+7
+-15
+-5
+9
+19
+20
+14
+6
+1
+1
+9
+18
+19
+12
+1
+-5
+-7
+35
+39
+38
+26
+7
+-10
+-17
+-17
+63
+63
+55
+33
+5
+-17
+-25
+-25
+2
+8
+14
+12
+6
+1
+1
+3
+2
+5
+8
+4
+-2
+-5
+-2
+2
+1
+1
+-1
+-8
+-14
+-14
+-6
+2
+0
+-2
+-7
+-17
+-23
+-20
+-10
+0
+-2
+-3
+-9
+-18
+-24
+-22
+-11
+-1
+-3
+-2
+-5
+-11
+-18
+-18
+-10
+-2
+-4
+0
+2
+-1
+-8
+-11
+-8
+-3
+-5
+1
+7
+6
+-1
+-6
+-6
+-4
+36
+53
+67
+63
+44
+28
+26
+31
+34
+47
+58
+52
+35
+23
+23
+29
+29
+37
+42
+36
+22
+15
+19
+27
+21
+25
+25
+19
+10
+7
+13
+21
+10
+11
+10
+7
+3
+3
+8
+12
+-2
+-1
+0
+1
+2
+2
+2
+3
+-12
+-10
+-5
+1
+5
+4
+-1
+-6
+-18
+-15
+-7
+2
+8
+6
+-3
+-11
+-3
+-9
+-9
+4
+21
+26
+16
+2
+-6
+-6
+-1
+9
+19
+21
+15
+8
+-10
+-1
+10
+16
+16
+14
+14
+16
+-14
+3
+19
+21
+12
+6
+12
+21
+-16
+4
+22
+22
+8
+1
+8
+20
+-16
+2
+18
+18
+6
+-2
+4
+13
+-14
+-2
+10
+12
+5
+-1
+0
+4
+-13
+-4
+5
+7
+4
+-1
+-2
+-2
+-56
+-57
+-55
+-49
+-36
+-18
+-2
+8
+-51
+-50
+-46
+-39
+-29
+-17
+-6
+0
+-42
+-38
+-31
+-24
+-18
+-14
+-12
+-11
+-30
+-24
+-15
+-8
+-7
+-10
+-16
+-20
+-16
+-10
+-2
+4
+3
+-5
+-15
+-22
+-3
+1
+7
+10
+8
+0
+-9
+-16
+7
+9
+12
+13
+10
+4
+-2
+-7
+13
+13
+13
+13
+10
+6
+2
+0
+-10
+-6
+-1
+4
+4
+1
+-4
+-8
+-10
+-8
+-5
+-1
+0
+0
+0
+-2
+-11
+-11
+-11
+-9
+-5
+0
+5
+8
+-12
+-14
+-15
+-15
+-9
+-1
+8
+14
+-13
+-15
+-17
+-16
+-11
+-2
+7
+13
+-15
+-15
+-14
+-13
+-9
+-4
+1
+4
+-16
+-14
+-10
+-7
+-5
+-5
+-6
+-7
+-17
+-13
+-7
+-3
+-3
+-6
+-11
+-15
+-19
+-21
+-22
+-20
+-11
+3
+16
+25
+-7
+-11
+-15
+-16
+-12
+-4
+5
+11
+5
+1
+-6
+-12
+-13
+-11
+-7
+-4
+7
+3
+-3
+-9
+-12
+-12
+-11
+-10
+0
+-2
+-5
+-7
+-9
+-9
+-8
+-8
+-6
+-6
+-6
+-6
+-6
+-6
+-6
+-7
+-4
+-3
+-3
+-3
+-5
+-8
+-10
+-12
+1
+2
+1
+-1
+-5
+-11
+-16
+-19
+30
+24
+16
+7
+3
+1
+3
+5
+50
+40
+25
+11
+2
+0
+3
+6
+66
+54
+37
+19
+8
+4
+6
+9
+57
+51
+41
+29
+20
+14
+11
+10
+30
+31
+32
+32
+28
+22
+15
+11
+6
+9
+14
+17
+19
+17
+14
+12
+2
+-2
+-7
+-9
+-7
+0
+8
+13
+7
+-4
+-20
+-30
+-29
+-16
+2
+14
+10
+7
+2
+-5
+-12
+-19
+-24
+-28
+7
+4
+-2
+-9
+-17
+-24
+-30
+-33
+-2
+-4
+-9
+-15
+-21
+-27
+-31
+-34
+-18
+-18
+-19
+-19
+-20
+-21
+-22
+-22
+-30
+-28
+-24
+-18
+-13
+-8
+-4
+-2
+-27
+-24
+-18
+-10
+-2
+6
+11
+15
+-10
+-8
+-4
+2
+8
+14
+18
+20
+5
+6
+8
+11
+14
+16
+18
+19
+-5
+-16
+-30
+-37
+-24
+13
+61
+94
+-8
+-12
+-17
+-17
+-3
+28
+68
+95
+-9
+-5
+2
+9
+22
+42
+67
+85
+-5
+6
+19
+28
+34
+39
+47
+54
+4
+15
+27
+32
+28
+21
+17
+15
+12
+20
+27
+24
+13
+0
+-8
+-11
+17
+20
+20
+13
+0
+-12
+-16
+-16
+18
+18
+15
+5
+-7
+-15
+-15
+-11
+105
+101
+82
+45
+5
+-19
+-19
+-10
+98
+89
+65
+26
+-11
+-28
+-22
+-10
+73
+62
+38
+4
+-26
+-35
+-24
+-9
+29
+24
+11
+-9
+-25
+-29
+-20
+-9
+-13
+-10
+-7
+-8
+-12
+-14
+-12
+-9
+-28
+-21
+-11
+-3
+1
+0
+-4
+-8
+-12
+-10
+-6
+0
+4
+4
+-1
+-5
+10
+5
+0
+-1
+1
+2
+0
+-3
+-12
+-10
+-6
+-3
+-1
+-2
+-4
+-6
+-8
+-8
+-7
+-6
+-5
+-3
+-2
+-1
+-1
+-4
+-8
+-11
+-9
+-4
+2
+6
+4
+-2
+-9
+-13
+-12
+-5
+4
+11
+5
+0
+-7
+-12
+-10
+-3
+6
+12
+2
+-1
+-4
+-7
+-5
+-1
+5
+9
+-2
+-2
+-1
+0
+1
+3
+4
+5
+-5
+-3
+1
+4
+6
+5
+3
+1
+0
+-1
+-1
+-2
+-3
+-5
+-5
+-6
+-10
+-9
+-8
+-6
+-4
+-2
+-1
+0
+-18
+-16
+-13
+-9
+-5
+-1
+2
+4
+-17
+-16
+-14
+-11
+-8
+-5
+-3
+-1
+-9
+-9
+-9
+-9
+-9
+-10
+-10
+-10
+-3
+-4
+-5
+-7
+-8
+-10
+-11
+-11
+-7
+-6
+-6
+-5
+-4
+-4
+-3
+-3
+-13
+-11
+-8
+-5
+-1
+3
+5
+7
+-19
+-18
+-15
+-11
+-7
+-3
+-1
+1
+-13
+-14
+-14
+-12
+-8
+-2
+4
+8
+-7
+-11
+-16
+-18
+-14
+-5
+6
+13
+-8
+-15
+-24
+-30
+-27
+-17
+-4
+4
+-16
+-23
+-35
+-43
+-44
+-36
+-26
+-18
+-22
+-29
+-40
+-50
+-55
+-54
+-49
+-46
+-23
+-28
+-38
+-48
+-57
+-63
+-66
+-67
+-21
+-25
+-33
+-44
+-55
+-66
+-74
+-78
+-7
+-6
+0
+10
+21
+24
+19
+13
+-10
+-5
+8
+26
+42
+48
+43
+37
+-4
+4
+21
+42
+57
+60
+50
+40
+12
+20
+35
+50
+58
+51
+33
+17
+30
+36
+46
+56
+58
+45
+23
+5
+47
+49
+54
+59
+57
+43
+21
+5
+67
+63
+57
+51
+41
+23
+0
+-17
+84
+73
+57
+40
+20
+-6
+-32
+-50
+21
+12
+4
+2
+5
+-1
+-15
+-29
+15
+10
+4
+-2
+-8
+-19
+-33
+-44
+9
+6
+-1
+-16
+-34
+-48
+-54
+-56
+6
+-1
+-18
+-44
+-67
+-74
+-63
+-50
+-1
+-16
+-44
+-76
+-93
+-82
+-52
+-26
+-19
+-40
+-71
+-95
+-96
+-69
+-27
+3
+-48
+-68
+-92
+-99
+-79
+-41
+-1
+24
+-71
+-88
+-102
+-94
+-62
+-19
+15
+33
+-48
+-53
+-57
+-55
+-46
+-28
+-10
+2
+-54
+-51
+-46
+-38
+-29
+-20
+-13
+-9
+-46
+-37
+-22
+-9
+-2
+-1
+-5
+-9
+-22
+-14
+-1
+11
+16
+14
+9
+4
+-3
+-1
+3
+7
+10
+12
+12
+12
+5
+2
+-1
+-4
+-3
+1
+6
+10
+13
+11
+6
+3
+2
+4
+7
+10
+23
+23
+22
+21
+19
+17
+16
+15
+2
+1
+2
+2
+2
+2
+2
+2
+3
+3
+4
+5
+6
+6
+7
+7
+0
+0
+2
+3
+5
+7
+8
+9
+-3
+-2
+-1
+1
+3
+4
+5
+6
+4
+4
+5
+5
+5
+5
+5
+5
+9
+8
+6
+4
+1
+-1
+-3
+-4
+-6
+-8
+-12
+-18
+-23
+-28
+-32
+-34
+-29
+-32
+-37
+-44
+-52
+-59
+-64
+-67
+20
+22
+24
+20
+11
+-3
+-17
+-26
+24
+22
+18
+10
+-1
+-14
+-25
+-32
+28
+21
+9
+-5
+-18
+-29
+-36
+-40
+26
+16
+-1
+-19
+-33
+-42
+-44
+-45
+18
+7
+-11
+-28
+-40
+-45
+-44
+-42
+2
+-6
+-18
+-30
+-37
+-38
+-36
+-33
+-14
+-18
+-23
+-28
+-29
+-27
+-24
+-21
+-24
+-25
+-25
+-25
+-23
+-19
+-16
+-13
+10
+13
+19
+22
+22
+18
+13
+9
+16
+18
+21
+22
+19
+12
+4
+-1
+18
+19
+21
+19
+14
+4
+-5
+-11
+9
+11
+14
+14
+9
+1
+-7
+-13
+-5
+-1
+3
+6
+5
+0
+-6
+-10
+-13
+-9
+-3
+1
+1
+-3
+-8
+-12
+-11
+-8
+-4
+-2
+-4
+-10
+-17
+-22
+-5
+-3
+-2
+-3
+-8
+-17
+-26
+-32
+-7
+4
+22
+33
+32
+17
+-2
+-15
+-20
+-8
+9
+23
+28
+23
+13
+6
+-34
+-25
+-11
+5
+17
+24
+27
+27
+-40
+-36
+-27
+-15
+-1
+12
+22
+28
+-34
+-34
+-33
+-28
+-19
+-8
+3
+10
+-20
+-23
+-26
+-28
+-26
+-21
+-14
+-10
+-5
+-9
+-14
+-19
+-21
+-21
+-20
+-18
+3
+0
+-4
+-9
+-13
+-16
+-18
+-18
+-14
+-11
+-6
+-3
+-1
+-3
+-5
+-7
+6
+3
+-1
+-5
+-7
+-7
+-5
+-4
+31
+24
+12
+2
+-4
+-5
+-3
+0
+42
+38
+30
+21
+13
+6
+3
+1
+33
+36
+39
+39
+33
+22
+11
+3
+8
+17
+30
+39
+39
+31
+18
+10
+-18
+-9
+4
+17
+25
+27
+24
+21
+-34
+-28
+-17
+-4
+9
+19
+26
+30
+11
+5
+-3
+-11
+-15
+-15
+-12
+-10
+18
+16
+14
+10
+5
+0
+-5
+-7
+21
+26
+32
+34
+28
+15
+1
+-8
+14
+23
+36
+42
+36
+19
+-2
+-15
+3
+13
+26
+34
+29
+13
+-6
+-19
+0
+7
+15
+21
+19
+11
+0
+-8
+10
+11
+13
+15
+17
+17
+17
+17
+21
+19
+16
+15
+19
+26
+33
+39
+4
+5
+5
+4
+0
+-5
+-11
+-14
+5
+4
+2
+0
+-3
+-5
+-6
+-7
+7
+3
+-2
+-6
+-6
+-3
+2
+5
+9
+3
+-4
+-9
+-8
+-1
+7
+14
+10
+5
+-3
+-8
+-7
+0
+9
+15
+11
+7
+2
+-2
+-2
+1
+6
+9
+11
+10
+8
+6
+3
+1
+0
+0
+11
+12
+12
+11
+7
+2
+-4
+-7
+-7
+-5
+-2
+2
+6
+10
+14
+15
+-6
+-2
+4
+9
+13
+14
+13
+12
+-3
+3
+12
+19
+21
+17
+11
+6
+-1
+7
+18
+25
+25
+17
+6
+-2
+2
+9
+18
+24
+21
+11
+-2
+-10
+5
+9
+13
+14
+10
+0
+-11
+-18
+7
+7
+6
+2
+-4
+-12
+-20
+-24
+8
+6
+1
+-6
+-13
+-20
+-25
+-27
+7
+1
+-1
+7
+21
+30
+29
+24
+15
+9
+7
+12
+20
+22
+16
+8
+26
+23
+20
+19
+17
+9
+-4
+-14
+35
+33
+29
+23
+12
+-4
+-21
+-32
+38
+37
+32
+20
+4
+-14
+-28
+-35
+34
+33
+27
+12
+-5
+-19
+-24
+-25
+27
+26
+18
+2
+-14
+-20
+-15
+-7
+21
+20
+11
+-4
+-18
+-19
+-7
+6
+-5
+-17
+-34
+-46
+-45
+-32
+-14
+-1
+-2
+-12
+-23
+-29
+-23
+-6
+14
+28
+1
+-4
+-10
+-9
+2
+22
+43
+57
+1
+-1
+-3
+0
+11
+29
+47
+58
+0
+-2
+-3
+-2
+4
+15
+25
+32
+-1
+-3
+-4
+-5
+-4
+-2
+2
+4
+0
+-1
+-2
+-3
+-5
+-6
+-8
+-8
+1
+1
+2
+1
+-1
+-4
+-7
+-9
+-21
+-22
+-21
+-19
+-15
+-10
+-4
+0
+32
+30
+28
+26
+26
+28
+31
+33
+83
+80
+74
+69
+65
+64
+64
+64
+83
+79
+73
+67
+62
+60
+59
+59
+38
+35
+30
+24
+21
+19
+20
+20
+-1
+-5
+-10
+-16
+-21
+-23
+-23
+-23
+-4
+-9
+-18
+-27
+-36
+-42
+-45
+-46
+11
+4
+-7
+-20
+-33
+-42
+-49
+-51
+1
+-12
+-28
+-35
+-26
+-2
+28
+47
+29
+10
+-17
+-35
+-35
+-15
+13
+32
+57
+33
+-2
+-31
+-41
+-29
+-8
+9
+55
+36
+6
+-21
+-35
+-34
+-23
+-14
+24
+15
+1
+-14
+-24
+-29
+-30
+-29
+-14
+-14
+-15
+-17
+-21
+-26
+-30
+-32
+-36
+-35
+-34
+-32
+-30
+-29
+-28
+-27
+-43
+-44
+-46
+-46
+-41
+-34
+-27
+-22
+69
+60
+46
+31
+18
+9
+5
+4
+48
+43
+34
+26
+20
+17
+16
+17
+20
+18
+17
+16
+18
+21
+25
+28
+-3
+-2
+1
+5
+10
+16
+20
+23
+-12
+-10
+-6
+-1
+3
+6
+8
+9
+-9
+-7
+-2
+2
+4
+4
+3
+2
+-2
+1
+7
+12
+15
+14
+11
+9
+2
+7
+15
+21
+25
+25
+22
+20
+-7
+5
+22
+33
+34
+23
+7
+-4
+3
+12
+24
+32
+31
+20
+6
+-3
+16
+21
+28
+32
+29
+21
+10
+3
+23
+26
+29
+31
+29
+24
+17
+12
+20
+23
+27
+28
+26
+20
+13
+8
+14
+17
+20
+19
+12
+-1
+-15
+-24
+8
+11
+12
+7
+-10
+-34
+-59
+-74
+5
+7
+7
+-3
+-27
+-60
+-94
+-114
+102
+107
+104
+79
+34
+-14
+-49
+-67
+109
+102
+80
+41
+-7
+-50
+-76
+-87
+111
+87
+43
+-10
+-56
+-85
+-95
+-94
+82
+49
+-4
+-55
+-88
+-95
+-84
+-72
+18
+-12
+-54
+-87
+-96
+-82
+-57
+-38
+-48
+-65
+-85
+-91
+-77
+-52
+-26
+-11
+-77
+-80
+-77
+-61
+-34
+-9
+5
+10
+-75
+-70
+-54
+-25
+6
+25
+29
+26
+-97
+-93
+-84
+-69
+-43
+-6
+32
+57
+-84
+-75
+-58
+-36
+-8
+22
+50
+68
+-66
+-53
+-30
+-1
+27
+50
+66
+74
+-44
+-33
+-13
+15
+42
+61
+69
+70
+-10
+-7
+4
+25
+47
+62
+64
+60
+19
+17
+22
+36
+52
+57
+47
+36
+23
+22
+28
+42
+51
+41
+14
+-10
+12
+14
+24
+39
+44
+23
+-18
+-52
+16
+11
+5
+1
+0
+3
+8
+11
+27
+24
+19
+15
+16
+20
+26
+30
+41
+37
+32
+28
+29
+33
+38
+42
+43
+38
+30
+23
+20
+21
+25
+27
+27
+21
+10
+0
+-7
+-10
+-10
+-9
+-1
+-7
+-18
+-29
+-37
+-40
+-40
+-40
+-30
+-35
+-43
+-51
+-55
+-55
+-52
+-50
+-48
+-52
+-57
+-61
+-60
+-56
+-51
+-47
+10
+14
+23
+32
+41
+46
+49
+50
+30
+33
+38
+42
+45
+45
+44
+43
+36
+36
+37
+36
+32
+27
+22
+19
+9
+9
+9
+7
+3
+-3
+-8
+-12
+-25
+-23
+-20
+-18
+-18
+-19
+-22
+-24
+-38
+-35
+-29
+-22
+-17
+-15
+-14
+-14
+-37
+-32
+-25
+-16
+-10
+-5
+-3
+-2
+-34
+-29
+-22
+-14
+-7
+-2
+-1
+0
+-32
+-28
+-22
+-15
+-10
+-7
+-6
+-6
+-32
+-30
+-26
+-20
+-14
+-8
+-3
+-1
+-30
+-31
+-31
+-27
+-19
+-9
+1
+8
+-27
+-30
+-32
+-31
+-22
+-9
+5
+14
+-23
+-26
+-29
+-27
+-20
+-7
+6
+15
+-17
+-18
+-20
+-18
+-13
+-4
+5
+10
+-12
+-11
+-9
+-7
+-4
+-1
+2
+3
+-8
+-6
+-2
+1
+2
+1
+-1
+-2
+61
+46
+29
+21
+21
+20
+14
+6
+39
+28
+16
+13
+19
+23
+23
+19
+12
+6
+1
+4
+14
+24
+30
+31
+0
+-3
+-5
+-2
+7
+17
+26
+31
+7
+5
+2
+0
+0
+5
+12
+19
+23
+21
+16
+6
+-4
+-7
+-1
+5
+36
+35
+28
+13
+-4
+-12
+-8
+-1
+42
+42
+34
+17
+-3
+-12
+-9
+-1
+-21
+-19
+-16
+-12
+-7
+-3
+0
+1
+-15
+-15
+-14
+-13
+-12
+-11
+-11
+-10
+-11
+-12
+-13
+-15
+-17
+-19
+-20
+-21
+-12
+-12
+-13
+-15
+-16
+-17
+-18
+-18
+-12
+-11
+-10
+-9
+-8
+-6
+-6
+-5
+-1
+0
+1
+3
+5
+6
+8
+9
+19
+19
+18
+17
+16
+15
+14
+14
+36
+35
+31
+27
+23
+19
+15
+14
+-7
+-1
+4
+2
+-6
+-13
+-14
+-13
+-6
+-1
+3
+0
+-6
+-10
+-8
+-4
+-5
+-1
+1
+-1
+-4
+-3
+4
+11
+-7
+-3
+1
+1
+1
+6
+17
+26
+-13
+-6
+2
+7
+11
+18
+30
+39
+-23
+-11
+5
+16
+23
+30
+40
+47
+-33
+-16
+8
+26
+36
+41
+47
+50
+-39
+-19
+10
+32
+43
+47
+50
+51
+13
+23
+34
+38
+34
+27
+25
+25
+21
+31
+42
+43
+35
+25
+18
+15
+35
+44
+52
+50
+37
+19
+7
+1
+46
+53
+58
+51
+32
+11
+-5
+-12
+51
+55
+54
+42
+21
+0
+-13
+-19
+49
+48
+40
+24
+4
+-11
+-17
+-18
+43
+37
+24
+5
+-13
+-21
+-18
+-13
+38
+29
+13
+-8
+-24
+-26
+-18
+-8
+-39
+-39
+-38
+-39
+-42
+-47
+-52
+-55
+-34
+-34
+-35
+-39
+-44
+-51
+-58
+-62
+-28
+-28
+-30
+-34
+-40
+-48
+-55
+-59
+-24
+-23
+-23
+-23
+-26
+-31
+-35
+-38
+-22
+-19
+-15
+-10
+-7
+-7
+-7
+-8
+-19
+-15
+-7
+0
+7
+10
+12
+12
+-12
+-8
+-2
+5
+11
+14
+15
+15
+-7
+-4
+1
+6
+9
+10
+9
+9
+4
+2
+0
+0
+2
+6
+10
+13
+-7
+-2
+7
+14
+15
+11
+6
+1
+-28
+-15
+3
+16
+17
+6
+-10
+-22
+-42
+-30
+-12
+1
+1
+-11
+-28
+-40
+-34
+-27
+-18
+-11
+-11
+-19
+-29
+-36
+-14
+-12
+-9
+-7
+-8
+-12
+-16
+-19
+-7
+-5
+-1
+0
+-1
+-6
+-12
+-15
+-14
+-9
+-2
+2
+0
+-8
+-17
+-24
+3
+-2
+-17
+-46
+-79
+-101
+-108
+-106
+-17
+-22
+-35
+-55
+-72
+-76
+-67
+-57
+-37
+-41
+-47
+-54
+-52
+-37
+-14
+4
+-42
+-42
+-40
+-34
+-20
+0
+22
+37
+-34
+-30
+-21
+-8
+9
+23
+33
+37
+-26
+-23
+-14
+2
+19
+29
+30
+28
+-29
+-31
+-26
+-11
+10
+25
+29
+27
+-37
+-42
+-43
+-29
+-4
+19
+31
+33
+-47
+-32
+-8
+14
+29
+33
+31
+27
+1
+9
+22
+32
+37
+34
+29
+24
+46
+46
+45
+42
+35
+27
+19
+15
+55
+50
+42
+32
+22
+15
+10
+8
+41
+37
+29
+21
+15
+13
+13
+13
+32
+29
+25
+20
+17
+16
+16
+17
+30
+29
+25
+20
+14
+8
+3
+0
+30
+28
+24
+16
+5
+-7
+-18
+-24
+8
+30
+50
+44
+13
+-25
+-51
+-62
+14
+29
+40
+30
+0
+-31
+-49
+-54
+22
+26
+23
+6
+-20
+-38
+-43
+-41
+22
+16
+2
+-19
+-38
+-44
+-37
+-28
+10
+-1
+-20
+-39
+-49
+-45
+-31
+-18
+-13
+-23
+-39
+-50
+-51
+-40
+-25
+-14
+-37
+-44
+-52
+-54
+-47
+-33
+-20
+-13
+-53
+-57
+-60
+-55
+-42
+-28
+-18
+-13
+-6
+-18
+-25
+-23
+-26
+-32
+-28
+-17
+-13
+-25
+-31
+-28
+-29
+-34
+-29
+-18
+-20
+-32
+-36
+-31
+-31
+-34
+-28
+-16
+-21
+-31
+-35
+-29
+-27
+-28
+-21
+-9
+-14
+-25
+-29
+-23
+-20
+-22
+-15
+-2
+-8
+-19
+-24
+-19
+-18
+-21
+-15
+-3
+-5
+-17
+-23
+-20
+-21
+-26
+-21
+-10
+-6
+-19
+-26
+-24
+-26
+-32
+-28
+-18
+24
+30
+37
+38
+41
+53
+74
+92
+17
+21
+24
+23
+23
+32
+49
+63
+14
+15
+14
+9
+5
+8
+18
+26
+24
+22
+16
+9
+2
+0
+2
+4
+42
+37
+29
+21
+15
+10
+5
+2
+54
+47
+38
+33
+30
+25
+16
+9
+54
+46
+38
+36
+38
+34
+23
+13
+48
+40
+33
+34
+38
+36
+25
+14
+23
+25
+27
+27
+23
+16
+8
+3
+13
+17
+24
+30
+33
+33
+31
+29
+-18
+-12
+-3
+8
+17
+24
+28
+29
+-6
+-3
+3
+11
+19
+25
+29
+31
+9
+8
+8
+7
+7
+8
+9
+9
+8
+5
+-1
+-8
+-12
+-15
+-15
+-15
+14
+10
+4
+-1
+-3
+-2
+0
+2
+-8
+-11
+-14
+-16
+-14
+-8
+-2
+3
+16
+7
+-2
+-2
+2
+2
+-7
+-16
+19
+11
+5
+6
+12
+13
+7
+0
+23
+19
+15
+17
+22
+23
+20
+15
+27
+25
+23
+22
+23
+22
+20
+18
+26
+26
+25
+21
+16
+13
+11
+12
+15
+19
+21
+17
+11
+7
+10
+13
+-1
+7
+15
+15
+12
+12
+20
+28
+-13
+-2
+10
+15
+15
+20
+32
+43
+1
+1
+3
+5
+8
+10
+11
+12
+11
+10
+9
+8
+6
+5
+4
+3
+20
+19
+15
+10
+6
+1
+-2
+-4
+22
+20
+17
+12
+7
+2
+-1
+-3
+19
+17
+15
+12
+9
+6
+4
+3
+20
+19
+17
+14
+10
+7
+5
+4
+30
+28
+23
+16
+10
+3
+-1
+-4
+40
+36
+29
+19
+8
+-1
+-9
+-13
+-45
+-25
+8
+42
+63
+62
+46
+31
+-33
+-9
+26
+53
+60
+46
+24
+7
+-13
+14
+47
+61
+49
+22
+-3
+-16
+8
+31
+53
+52
+28
+0
+-15
+-18
+25
+37
+43
+31
+6
+-11
+-11
+-4
+34
+34
+28
+12
+-5
+-11
+-3
+7
+36
+30
+18
+6
+-3
+-5
+-2
+1
+35
+27
+15
+7
+4
+0
+-5
+-10
+4
+-3
+-11
+-17
+-16
+-8
+2
+9
+6
+-2
+-13
+-21
+-20
+-12
+0
+8
+8
+-2
+-15
+-25
+-26
+-17
+-4
+5
+9
+-1
+-15
+-26
+-28
+-21
+-9
+-1
+8
+1
+-11
+-20
+-24
+-21
+-14
+-9
+6
+2
+-3
+-9
+-14
+-17
+-19
+-19
+2
+4
+4
+3
+-3
+-13
+-22
+-28
+0
+4
+10
+10
+4
+-9
+-24
+-33
+-9
+3
+20
+34
+38
+30
+17
+7
+-13
+-5
+8
+18
+21
+17
+8
+2
+-15
+-11
+-6
+-2
+1
+1
+-1
+-2
+-8
+-10
+-13
+-13
+-12
+-8
+-3
+0
+3
+-2
+-8
+-13
+-12
+-6
+3
+8
+13
+8
+0
+-5
+-5
+1
+9
+15
+17
+13
+6
+2
+2
+6
+11
+16
+16
+13
+9
+5
+5
+7
+11
+14
+-50
+-62
+-61
+-31
+15
+45
+46
+34
+-50
+-58
+-53
+-23
+19
+44
+43
+31
+-49
+-49
+-38
+-10
+24
+42
+38
+26
+-43
+-37
+-21
+4
+28
+38
+33
+23
+-33
+-22
+-4
+15
+29
+33
+29
+24
+-20
+-7
+10
+21
+25
+26
+27
+29
+-7
+6
+20
+23
+20
+19
+27
+35
+1
+13
+24
+24
+16
+15
+27
+39
+12
+19
+32
+43
+44
+32
+10
+-6
+17
+26
+37
+41
+34
+18
+1
+-10
+26
+36
+43
+36
+16
+-3
+-13
+-15
+35
+43
+44
+25
+-4
+-24
+-26
+-19
+41
+44
+36
+10
+-21
+-37
+-32
+-20
+44
+37
+19
+-8
+-32
+-40
+-31
+-18
+45
+28
+0
+-25
+-39
+-37
+-26
+-15
+44
+21
+-12
+-35
+-41
+-33
+-21
+-13
+19
+5
+-15
+-34
+-42
+-38
+-29
+-21
+6
+-6
+-25
+-41
+-49
+-46
+-37
+-31
+3
+-7
+-23
+-36
+-42
+-41
+-34
+-29
+12
+5
+-6
+-15
+-20
+-20
+-16
+-12
+17
+13
+8
+2
+-1
+-2
+-1
+0
+11
+10
+9
+7
+6
+4
+2
+1
+8
+9
+11
+13
+12
+9
+5
+3
+11
+14
+18
+20
+20
+17
+12
+9
+3
+1
+-1
+0
+5
+14
+23
+29
+2
+1
+0
+1
+3
+6
+10
+13
+0
+1
+2
+1
+-1
+-5
+-9
+-11
+-1
+0
+2
+0
+-5
+-15
+-24
+-30
+-2
+-1
+0
+-2
+-8
+-18
+-28
+-35
+-2
+-2
+-3
+-6
+-10
+-16
+-21
+-24
+-2
+-4
+-7
+-9
+-10
+-10
+-8
+-7
+-1
+-5
+-9
+-12
+-10
+-5
+1
+6
+25
+23
+20
+13
+2
+-10
+-21
+-28
+19
+14
+6
+-3
+-11
+-16
+-19
+-20
+6
+0
+-9
+-17
+-20
+-18
+-13
+-9
+-12
+-13
+-13
+-13
+-11
+-8
+-5
+-3
+-28
+-21
+-9
+2
+7
+7
+4
+0
+-35
+-24
+-6
+10
+18
+16
+10
+4
+-33
+-24
+-10
+4
+12
+14
+12
+10
+-28
+-24
+-16
+-7
+2
+8
+13
+15
+14
+18
+23
+26
+24
+18
+13
+9
+16
+16
+18
+21
+22
+18
+9
+1
+20
+14
+11
+15
+20
+16
+2
+-11
+22
+14
+7
+10
+15
+10
+-7
+-23
+23
+17
+11
+10
+10
+1
+-15
+-29
+21
+22
+21
+15
+4
+-10
+-22
+-29
+18
+28
+33
+21
+-1
+-20
+-26
+-24
+16
+32
+41
+26
+-4
+-27
+-28
+-21
+-35
+-26
+-12
+3
+15
+23
+26
+27
+-28
+-23
+-13
+-2
+8
+15
+20
+22
+-17
+-16
+-14
+-9
+-3
+5
+12
+16
+-7
+-10
+-14
+-15
+-12
+-5
+2
+7
+-3
+-6
+-12
+-15
+-14
+-10
+-4
+0
+-4
+-6
+-8
+-10
+-10
+-9
+-6
+-5
+-9
+-7
+-5
+-3
+-3
+-4
+-6
+-8
+-13
+-9
+-3
+2
+3
+0
+-5
+-9
+10
+24
+38
+40
+29
+17
+12
+12
+14
+25
+37
+37
+26
+14
+9
+8
+19
+25
+31
+29
+19
+9
+4
+3
+18
+19
+19
+17
+11
+6
+2
+1
+14
+11
+8
+7
+9
+11
+12
+11
+15
+9
+4
+8
+19
+30
+37
+39
+21
+13
+9
+17
+37
+58
+70
+74
+27
+18
+15
+27
+52
+78
+94
+100
+-1
+-3
+-5
+-7
+-6
+-3
+0
+3
+-8
+-8
+-7
+-6
+-4
+-2
+1
+2
+-16
+-13
+-8
+-3
+0
+1
+1
+1
+-13
+-9
+-4
+1
+4
+3
+1
+0
+6
+7
+8
+8
+6
+4
+1
+-1
+38
+33
+24
+15
+7
+3
+1
+0
+72
+60
+41
+21
+7
+1
+0
+1
+94
+78
+52
+25
+7
+-1
+-1
+1
+10
+16
+21
+16
+8
+8
+20
+31
+8
+18
+24
+18
+7
+9
+25
+43
+-3
+15
+30
+27
+14
+13
+32
+53
+-26
+3
+34
+41
+29
+23
+35
+51
+-52
+-15
+30
+51
+47
+36
+34
+39
+-67
+-33
+14
+45
+52
+44
+34
+29
+-67
+-45
+-9
+24
+44
+47
+37
+28
+-61
+-50
+-26
+6
+34
+46
+41
+32
+58
+49
+33
+12
+-3
+-4
+6
+16
+52
+42
+23
+2
+-11
+-9
+6
+19
+43
+31
+9
+-13
+-24
+-16
+5
+22
+34
+20
+-3
+-26
+-34
+-22
+3
+24
+28
+14
+-8
+-30
+-38
+-25
+1
+22
+26
+14
+-6
+-26
+-34
+-25
+-2
+16
+26
+18
+1
+-17
+-27
+-22
+-5
+10
+27
+20
+6
+-11
+-22
+-19
+-7
+5
+24
+20
+14
+8
+3
+1
+0
+1
+18
+17
+14
+10
+6
+2
+-2
+-4
+10
+12
+14
+14
+10
+3
+-5
+-10
+1
+6
+12
+15
+12
+3
+-7
+-14
+-3
+2
+8
+12
+9
+1
+-8
+-15
+-4
+-1
+3
+5
+3
+-2
+-8
+-12
+-2
+-2
+-2
+-3
+-4
+-5
+-7
+-7
+0
+-2
+-5
+-8
+-9
+-7
+-5
+-4
+18
+8
+11
+39
+83
+115
+123
+117
+23
+14
+16
+42
+83
+113
+120
+115
+24
+17
+19
+43
+80
+108
+116
+113
+16
+12
+15
+38
+71
+97
+106
+105
+6
+3
+7
+25
+51
+72
+81
+82
+4
+0
+0
+8
+22
+33
+38
+39
+12
+6
+-2
+-7
+-9
+-10
+-12
+-15
+22
+13
+-1
+-16
+-28
+-39
+-48
+-53
+132
+119
+91
+52
+16
+-3
+-2
+5
+125
+116
+93
+59
+24
+1
+-5
+-4
+115
+106
+87
+57
+26
+2
+-10
+-13
+101
+87
+63
+35
+10
+-6
+-13
+-14
+80
+59
+28
+0
+-14
+-16
+-10
+-5
+52
+28
+-3
+-25
+-27
+-16
+-1
+7
+22
+2
+-21
+-31
+-22
+-5
+10
+18
+1
+-13
+-27
+-26
+-11
+7
+19
+23
+-12
+-11
+-10
+-8
+-4
+0
+3
+5
+-8
+-7
+-6
+-5
+-4
+-4
+-5
+-6
+-5
+-2
+2
+5
+4
+-1
+-8
+-12
+-6
+2
+13
+21
+22
+15
+5
+-2
+-9
+5
+25
+41
+46
+38
+25
+16
+-9
+9
+35
+57
+64
+55
+38
+25
+-6
+14
+43
+66
+71
+58
+35
+19
+-3
+18
+47
+70
+72
+54
+27
+8
+-10
+-9
+-2
+7
+15
+14
+5
+-4
+-10
+-8
+-1
+11
+21
+23
+16
+9
+-3
+-1
+5
+17
+29
+33
+28
+22
+12
+11
+14
+22
+31
+34
+29
+23
+26
+22
+20
+22
+26
+26
+20
+13
+29
+23
+17
+15
+17
+15
+9
+3
+20
+13
+6
+5
+7
+8
+4
+0
+9
+2
+-3
+-3
+2
+6
+4
+1
+-56
+-50
+-33
+-5
+23
+40
+40
+34
+-44
+-43
+-34
+-15
+9
+26
+31
+29
+-25
+-31
+-34
+-28
+-13
+4
+15
+20
+-9
+-19
+-32
+-38
+-32
+-19
+-4
+5
+-2
+-11
+-26
+-38
+-43
+-36
+-23
+-13
+-5
+-8
+-17
+-30
+-42
+-45
+-39
+-32
+-13
+-8
+-8
+-18
+-34
+-47
+-50
+-49
+-19
+-10
+-3
+-9
+-28
+-46
+-56
+-58
+54
+45
+27
+5
+-14
+-19
+-13
+-5
+46
+41
+29
+11
+-7
+-16
+-15
+-11
+33
+34
+32
+21
+4
+-10
+-17
+-18
+15
+24
+32
+30
+16
+-2
+-15
+-21
+-3
+12
+29
+35
+25
+8
+-8
+-17
+-20
+-1
+22
+34
+31
+17
+3
+-5
+-32
+-12
+14
+31
+33
+24
+14
+8
+-39
+-18
+9
+29
+33
+28
+21
+17
+60
+46
+22
+-8
+-36
+-58
+-73
+-80
+64
+48
+21
+-11
+-40
+-61
+-74
+-80
+68
+49
+19
+-15
+-44
+-64
+-73
+-77
+65
+46
+15
+-18
+-44
+-61
+-68
+-69
+52
+37
+12
+-15
+-36
+-49
+-54
+-55
+31
+22
+8
+-8
+-22
+-31
+-36
+-38
+9
+8
+4
+0
+-6
+-13
+-18
+-21
+-5
+-2
+2
+5
+4
+-1
+-7
+-11
+30
+31
+33
+31
+26
+17
+8
+2
+28
+32
+37
+38
+33
+21
+9
+1
+27
+34
+43
+47
+42
+27
+10
+-1
+24
+33
+46
+52
+47
+30
+10
+-4
+21
+31
+43
+50
+44
+27
+7
+-6
+19
+26
+35
+39
+34
+20
+3
+-9
+17
+21
+26
+27
+21
+10
+-3
+-11
+16
+17
+19
+18
+12
+3
+-6
+-12
+1
+-7
+-8
+-2
+-3
+-12
+-12
+-5
+-5
+-13
+-14
+-7
+-7
+-14
+-12
+-4
+-11
+-20
+-20
+-12
+-9
+-12
+-7
+3
+-15
+-24
+-24
+-13
+-7
+-6
+3
+17
+-19
+-27
+-26
+-14
+-4
+3
+16
+32
+-27
+-35
+-34
+-19
+-5
+5
+23
+42
+-39
+-47
+-45
+-29
+-13
+1
+23
+43
+-49
+-57
+-55
+-38
+-20
+-4
+19
+41
+12
+15
+23
+34
+40
+36
+23
+11
+5
+6
+12
+22
+31
+32
+24
+16
+-1
+-3
+-2
+5
+15
+21
+21
+18
+3
+-4
+-10
+-9
+-1
+6
+9
+8
+12
+2
+-9
+-14
+-12
+-8
+-6
+-7
+16
+6
+-6
+-13
+-13
+-12
+-14
+-17
+11
+3
+-5
+-8
+-7
+-7
+-11
+-16
+3
+-2
+-6
+-4
+-1
+0
+-6
+-11
+31
+39
+33
+9
+-8
+-3
+10
+16
+26
+35
+30
+7
+-9
+-4
+7
+12
+17
+28
+26
+6
+-10
+-7
+2
+6
+6
+20
+23
+5
+-9
+-8
+-2
+-1
+-3
+14
+21
+7
+-7
+-8
+-5
+-7
+-10
+10
+21
+10
+-3
+-6
+-6
+-10
+-14
+8
+22
+14
+1
+-3
+-6
+-11
+-15
+8
+23
+16
+4
+-1
+-5
+-11
+15
+5
+-9
+-20
+-21
+-11
+7
+19
+25
+16
+2
+-12
+-17
+-9
+6
+19
+40
+33
+19
+2
+-10
+-8
+5
+17
+52
+48
+34
+13
+-6
+-11
+0
+12
+55
+54
+43
+19
+-7
+-17
+-8
+5
+48
+51
+43
+17
+-13
+-26
+-18
+-5
+37
+43
+37
+12
+-20
+-36
+-28
+-13
+29
+36
+33
+8
+-26
+-43
+-34
+-18
+1
+-2
+-7
+-9
+-8
+-3
+3
+8
+-7
+-8
+-7
+-6
+-3
+1
+5
+8
+-18
+-15
+-9
+-2
+4
+7
+8
+9
+-27
+-21
+-10
+1
+8
+11
+11
+10
+-29
+-22
+-11
+0
+9
+13
+13
+12
+-24
+-19
+-12
+-3
+5
+10
+13
+14
+-15
+-14
+-12
+-8
+-2
+6
+12
+16
+-8
+-10
+-12
+-11
+-6
+3
+11
+17
+-10
+-13
+-15
+-16
+-13
+-7
+1
+5
+-3
+-6
+-11
+-14
+-14
+-12
+-8
+-5
+3
+0
+-5
+-10
+-13
+-15
+-14
+-13
+3
+2
+-1
+-5
+-7
+-9
+-10
+-10
+3
+3
+4
+5
+5
+4
+3
+2
+10
+12
+16
+18
+19
+17
+15
+13
+28
+29
+32
+33
+30
+25
+19
+15
+42
+43
+44
+42
+36
+27
+18
+13
+7
+8
+10
+11
+11
+9
+7
+5
+10
+14
+19
+23
+23
+21
+17
+14
+12
+18
+27
+35
+37
+33
+27
+22
+9
+16
+27
+36
+39
+35
+28
+22
+4
+9
+18
+25
+27
+24
+18
+13
+3
+5
+7
+8
+8
+7
+4
+3
+9
+6
+0
+-5
+-8
+-7
+-5
+-4
+16
+9
+-2
+-11
+-16
+-14
+-10
+-6
+73
+71
+71
+75
+77
+73
+63
+53
+77
+76
+77
+82
+86
+83
+74
+65
+76
+76
+78
+85
+91
+90
+82
+74
+71
+71
+75
+83
+90
+91
+83
+76
+75
+75
+79
+87
+94
+94
+87
+79
+81
+81
+83
+90
+96
+95
+87
+79
+74
+72
+74
+78
+82
+80
+70
+61
+58
+56
+57
+60
+63
+59
+48
+39
+-4
+18
+25
+9
+-1
+9
+17
+14
+1
+23
+32
+19
+9
+15
+20
+18
+2
+22
+34
+27
+16
+15
+18
+16
+-7
+9
+22
+19
+8
+1
+2
+3
+-19
+-9
+1
+1
+-11
+-22
+-20
+-12
+-20
+-19
+-14
+-14
+-26
+-39
+-33
+-17
+-8
+-15
+-17
+-17
+-31
+-43
+-32
+-8
+4
+-7
+-13
+-15
+-28
+-40
+-25
+3
+-2
+-7
+-9
+-2
+7
+9
+1
+-9
+0
+-6
+-10
+-6
+2
+4
+-1
+-8
+3
+-3
+-9
+-10
+-5
+-2
+-4
+-7
+7
+2
+-4
+-8
+-8
+-7
+-5
+-5
+12
+11
+8
+3
+-3
+-5
+-5
+-3
+16
+21
+24
+20
+10
+2
+-2
+-2
+19
+30
+40
+38
+25
+10
+2
+0
+21
+35
+50
+50
+35
+16
+4
+0
+-41
+-36
+-29
+-27
+-25
+-19
+-8
+1
+-38
+-35
+-32
+-32
+-30
+-22
+-7
+4
+-33
+-33
+-35
+-38
+-36
+-24
+-5
+9
+-27
+-29
+-34
+-39
+-37
+-23
+-1
+16
+-20
+-22
+-27
+-32
+-30
+-16
+6
+23
+-14
+-14
+-15
+-18
+-16
+-5
+14
+29
+-9
+-6
+-3
+-2
+-1
+8
+22
+34
+-6
+-1
+5
+8
+10
+16
+27
+36
+3
+14
+16
+23
+33
+22
+18
+40
+3
+13
+15
+22
+31
+18
+14
+36
+5
+14
+15
+20
+27
+14
+8
+29
+8
+17
+16
+19
+25
+9
+2
+22
+14
+22
+19
+20
+23
+5
+-3
+16
+21
+28
+24
+23
+24
+4
+-6
+13
+28
+35
+29
+27
+26
+5
+-6
+12
+32
+38
+32
+29
+27
+5
+-6
+11
+10
+9
+8
+6
+4
+1
+-2
+-3
+28
+27
+25
+22
+18
+13
+9
+6
+43
+42
+40
+35
+29
+21
+13
+9
+48
+48
+46
+41
+32
+21
+10
+4
+55
+56
+55
+51
+41
+28
+14
+6
+61
+64
+65
+63
+54
+40
+25
+16
+55
+59
+63
+63
+56
+42
+27
+17
+43
+48
+53
+55
+48
+35
+20
+10
+12
+8
+3
+-4
+-8
+-11
+-11
+-11
+12
+10
+8
+4
+0
+-5
+-8
+-10
+10
+12
+15
+15
+11
+4
+-4
+-9
+9
+13
+19
+22
+19
+10
+0
+-7
+7
+12
+18
+22
+19
+11
+2
+-5
+5
+8
+12
+14
+12
+7
+1
+-3
+4
+4
+3
+2
+1
+0
+-1
+-2
+3
+1
+-3
+-5
+-6
+-5
+-3
+-1
+-115
+-117
+-120
+-126
+-128
+-123
+-111
+-101
+-116
+-117
+-118
+-119
+-115
+-102
+-82
+-68
+-121
+-118
+-113
+-105
+-88
+-61
+-32
+-11
+-129
+-119
+-102
+-78
+-47
+-9
+28
+52
+-133
+-113
+-79
+-39
+2
+43
+79
+100
+-127
+-95
+-44
+9
+52
+84
+107
+119
+-111
+-70
+-6
+53
+92
+109
+113
+112
+-97
+-51
+18
+80
+114
+120
+110
+100
+-33
+17
+64
+69
+39
+-2
+-43
+-71
+4
+46
+83
+81
+49
+8
+-32
+-60
+52
+83
+107
+95
+59
+17
+-25
+-54
+89
+111
+122
+102
+64
+20
+-27
+-61
+111
+123
+122
+96
+58
+15
+-35
+-72
+116
+114
+96
+62
+26
+-9
+-51
+-86
+101
+83
+45
+0
+-32
+-54
+-81
+-107
+81
+52
+-1
+-54
+-83
+-94
+-108
+-126
+-19
+-19
+-21
+-28
+-36
+-42
+-42
+-40
+-19
+-21
+-27
+-33
+-38
+-37
+-32
+-28
+-29
+-34
+-41
+-43
+-38
+-26
+-13
+-4
+-54
+-59
+-60
+-51
+-32
+-7
+14
+25
+-82
+-81
+-71
+-46
+-11
+22
+43
+51
+-94
+-85
+-61
+-21
+24
+55
+66
+66
+-85
+-69
+-34
+17
+63
+86
+82
+70
+-71
+-52
+-10
+44
+89
+104
+89
+68
+-38
+-25
+-4
+16
+28
+31
+27
+22
+-22
+-9
+10
+27
+35
+32
+23
+16
+4
+15
+31
+43
+44
+33
+17
+6
+30
+39
+50
+54
+48
+31
+11
+-3
+49
+53
+57
+55
+44
+25
+6
+-7
+58
+56
+52
+44
+32
+16
+3
+-6
+59
+53
+42
+29
+17
+8
+2
+-1
+57
+48
+33
+18
+7
+2
+1
+2
+10
+7
+2
+-2
+-1
+3
+9
+13
+0
+-1
+-2
+-2
+0
+3
+7
+9
+-15
+-12
+-7
+-2
+2
+4
+4
+4
+-25
+-19
+-10
+-1
+4
+5
+3
+1
+-23
+-17
+-8
+0
+5
+6
+4
+2
+-11
+-8
+-3
+2
+6
+8
+8
+8
+6
+5
+4
+4
+6
+9
+13
+15
+17
+14
+9
+5
+6
+10
+16
+20
+24
+31
+33
+22
+0
+-19
+-27
+-28
+18
+26
+31
+22
+3
+-18
+-30
+-35
+10
+19
+27
+23
+6
+-16
+-35
+-44
+3
+12
+21
+22
+9
+-14
+-37
+-52
+2
+8
+16
+18
+9
+-11
+-36
+-54
+7
+8
+11
+13
+8
+-9
+-32
+-50
+15
+11
+8
+8
+6
+-6
+-27
+-43
+21
+13
+6
+5
+4
+-5
+-23
+-38
+-76
+-74
+-64
+-46
+-25
+-11
+-6
+-6
+-99
+-99
+-93
+-78
+-58
+-41
+-32
+-30
+-117
+-121
+-121
+-110
+-90
+-69
+-53
+-47
+-120
+-127
+-132
+-123
+-101
+-75
+-54
+-43
+-120
+-128
+-132
+-122
+-97
+-66
+-41
+-28
+-123
+-128
+-128
+-113
+-84
+-50
+-24
+-10
+-119
+-120
+-114
+-93
+-59
+-25
+2
+15
+-110
+-108
+-98
+-73
+-37
+-1
+24
+37
+16
+17
+18
+18
+17
+13
+9
+6
+0
+1
+3
+3
+2
+-2
+-5
+-8
+-8
+-7
+-5
+-4
+-5
+-8
+-11
+-14
+-3
+-2
+1
+2
+2
+0
+-3
+-5
+-2
+0
+3
+6
+6
+4
+2
+0
+-9
+-6
+-3
+0
+1
+0
+-2
+-3
+-12
+-9
+-5
+-2
+0
+-1
+-2
+-4
+-8
+-5
+-2
+2
+4
+4
+2
+1
+-64
+-21
+46
+105
+133
+125
+98
+76
+-66
+-28
+31
+82
+105
+97
+73
+52
+-68
+-39
+6
+44
+60
+52
+31
+13
+-70
+-51
+-21
+3
+11
+2
+-15
+-29
+-70
+-59
+-42
+-30
+-29
+-38
+-53
+-63
+-68
+-63
+-55
+-51
+-54
+-64
+-76
+-84
+-66
+-63
+-60
+-60
+-65
+-76
+-87
+-94
+-64
+-62
+-61
+-62
+-69
+-79
+-90
+-97
+23
+-15
+-63
+-96
+-107
+-110
+-117
+-126
+-12
+-45
+-87
+-115
+-121
+-121
+-125
+-132
+-48
+-75
+-108
+-128
+-129
+-125
+-126
+-130
+-66
+-86
+-112
+-125
+-124
+-120
+-120
+-123
+-76
+-91
+-109
+-119
+-119
+-117
+-118
+-121
+-90
+-100
+-112
+-119
+-121
+-121
+-123
+-126
+-105
+-110
+-116
+-120
+-122
+-123
+-125
+-127
+-112
+-114
+-117
+-118
+-119
+-120
+-121
+-123
+-29
+-18
+12
+59
+106
+130
+129
+117
+-15
+-9
+11
+46
+81
+99
+96
+85
+-1
+-1
+6
+24
+45
+54
+49
+40
+3
+-1
+-3
+2
+12
+16
+12
+6
+-2
+-6
+-10
+-9
+-6
+-4
+-6
+-8
+-8
+-8
+-8
+-8
+-8
+-8
+-9
+-10
+-6
+-3
+2
+2
+-1
+-5
+-8
+-9
+-2
+4
+11
+11
+5
+-2
+-8
+-10
+77
+59
+36
+22
+18
+15
+9
+1
+53
+37
+18
+7
+6
+7
+4
+0
+21
+9
+-5
+-14
+-13
+-10
+-9
+-9
+0
+-9
+-22
+-32
+-36
+-34
+-31
+-29
+-6
+-14
+-27
+-42
+-53
+-57
+-54
+-50
+-5
+-11
+-25
+-44
+-61
+-69
+-67
+-62
+-5
+-9
+-21
+-42
+-62
+-70
+-67
+-59
+-7
+-10
+-20
+-39
+-59
+-67
+-62
+-52
+3
+0
+5
+19
+24
+-2
+-53
+-96
+3
+-1
+2
+15
+21
+2
+-40
+-77
+4
+-2
+-3
+8
+17
+8
+-21
+-47
+6
+-2
+-6
+1
+12
+11
+-4
+-20
+10
+2
+-5
+-2
+7
+10
+4
+-3
+14
+7
+-1
+-2
+2
+5
+3
+-1
+18
+12
+5
+1
+0
+-2
+-5
+-8
+20
+15
+9
+3
+-2
+-6
+-11
+-14
+29
+28
+27
+23
+17
+9
+2
+-2
+27
+29
+30
+28
+22
+11
+1
+-6
+24
+28
+34
+35
+28
+14
+-2
+-12
+15
+23
+32
+36
+29
+14
+-4
+-16
+3
+11
+22
+28
+24
+10
+-6
+-17
+-12
+-5
+5
+12
+11
+3
+-7
+-15
+-26
+-21
+-13
+-6
+-3
+-4
+-8
+-11
+-35
+-31
+-24
+-17
+-12
+-9
+-8
+-8
+-13
+-21
+-33
+-46
+-56
+-61
+-63
+-63
+-6
+-11
+-19
+-29
+-38
+-45
+-49
+-51
+3
+1
+-1
+-7
+-14
+-23
+-30
+-34
+5
+7
+9
+7
+2
+-7
+-16
+-22
+2
+5
+8
+9
+6
+0
+-8
+-13
+1
+2
+4
+4
+4
+1
+-2
+-4
+4
+2
+1
+0
+0
+3
+5
+7
+7
+4
+0
+-2
+-1
+4
+11
+15
+-117
+-121
+-125
+-127
+-124
+-116
+-106
+-100
+-121
+-123
+-126
+-127
+-125
+-119
+-112
+-107
+-125
+-126
+-127
+-127
+-126
+-123
+-120
+-118
+-126
+-126
+-126
+-126
+-126
+-127
+-127
+-127
+-121
+-121
+-123
+-124
+-126
+-128
+-129
+-130
+-110
+-113
+-117
+-122
+-125
+-127
+-127
+-127
+-98
+-103
+-111
+-119
+-124
+-124
+-123
+-121
+-90
+-97
+-108
+-117
+-123
+-123
+-119
+-116
+-7
+20
+56
+75
+73
+55
+38
+27
+-26
+-1
+35
+64
+72
+59
+37
+20
+-49
+-31
+3
+41
+67
+65
+41
+18
+-60
+-53
+-28
+15
+56
+69
+52
+29
+-58
+-59
+-43
+-1
+48
+73
+67
+50
+-52
+-51
+-34
+7
+55
+82
+80
+66
+-51
+-39
+-10
+34
+76
+95
+87
+72
+-53
+-32
+9
+58
+94
+104
+89
+71
+17
+33
+45
+46
+47
+47
+37
+21
+17
+34
+47
+49
+50
+50
+39
+23
+17
+35
+50
+53
+55
+54
+42
+25
+15
+34
+51
+57
+59
+58
+45
+27
+11
+32
+51
+59
+62
+60
+45
+27
+5
+27
+49
+59
+62
+60
+44
+24
+-1
+23
+46
+57
+62
+59
+42
+21
+-4
+20
+44
+56
+61
+58
+40
+19
+-2
+-13
+-26
+-30
+-24
+-13
+-4
+-1
+-8
+-22
+-37
+-43
+-36
+-22
+-10
+-4
+-18
+-34
+-54
+-62
+-54
+-35
+-18
+-9
+-28
+-46
+-68
+-77
+-67
+-45
+-24
+-11
+-34
+-52
+-73
+-80
+-69
+-46
+-23
+-10
+-36
+-51
+-68
+-72
+-59
+-37
+-17
+-6
+-36
+-47
+-58
+-58
+-44
+-24
+-8
+1
+-35
+-43
+-51
+-48
+-34
+-15
+-2
+5
+17
+17
+17
+14
+10
+3
+-3
+-6
+18
+15
+12
+8
+3
+0
+-3
+-4
+18
+13
+6
+-1
+-5
+-5
+-3
+-1
+17
+10
+0
+-9
+-12
+-9
+-3
+1
+14
+7
+-3
+-10
+-13
+-9
+-3
+2
+9
+5
+-1
+-6
+-8
+-6
+-2
+1
+4
+3
+2
+1
+-1
+-1
+-2
+-2
+0
+2
+4
+6
+5
+2
+-1
+-4
+7
+8
+9
+9
+8
+5
+1
+-1
+3
+0
+-3
+-6
+-8
+-9
+-9
+-9
+-4
+-11
+-21
+-29
+-32
+-30
+-25
+-21
+-10
+-21
+-36
+-50
+-55
+-50
+-41
+-34
+-12
+-25
+-43
+-60
+-66
+-61
+-51
+-43
+-10
+-22
+-41
+-57
+-65
+-63
+-55
+-49
+-6
+-16
+-33
+-48
+-57
+-58
+-54
+-50
+-3
+-12
+-26
+-40
+-50
+-53
+-52
+-50
+19
+11
+5
+8
+16
+19
+13
+5
+5
+2
+2
+10
+20
+24
+18
+10
+-15
+-11
+-1
+14
+26
+30
+25
+18
+-31
+-20
+-2
+18
+31
+36
+32
+28
+-35
+-20
+2
+21
+33
+38
+38
+37
+-25
+-11
+9
+24
+32
+36
+40
+44
+-10
+3
+18
+26
+28
+32
+41
+49
+1
+12
+23
+27
+26
+29
+41
+51
+-8
+-15
+-17
+-15
+-20
+-25
+-15
+1
+-16
+-23
+-24
+-22
+-25
+-28
+-17
+1
+-22
+-29
+-31
+-28
+-29
+-29
+-15
+4
+-21
+-29
+-32
+-29
+-29
+-27
+-9
+12
+-14
+-23
+-28
+-26
+-26
+-22
+-3
+19
+-7
+-18
+-25
+-25
+-27
+-23
+-4
+20
+-3
+-16
+-26
+-30
+-33
+-30
+-10
+13
+-4
+-18
+-30
+-35
+-39
+-37
+-18
+6
+-12
+-2
+8
+7
+0
+-2
+5
+13
+-16
+-9
+0
+5
+6
+7
+11
+15
+-19
+-16
+-7
+6
+19
+25
+23
+19
+-18
+-16
+-6
+15
+36
+44
+37
+26
+-15
+-10
+4
+27
+48
+54
+42
+29
+-16
+-5
+15
+37
+49
+47
+35
+23
+-22
+-3
+22
+39
+40
+29
+17
+8
+-29
+-5
+24
+37
+30
+14
+2
+-4
+-33
+-1
+46
+84
+99
+92
+77
+65
+-36
+-7
+36
+71
+84
+77
+60
+46
+-32
+-13
+17
+43
+55
+51
+38
+27
+-16
+-14
+-7
+4
+16
+24
+26
+25
+5
+-11
+-28
+-30
+-14
+10
+30
+41
+19
+-8
+-38
+-45
+-23
+13
+46
+63
+19
+-8
+-36
+-39
+-11
+30
+63
+80
+14
+-10
+-32
+-28
+4
+44
+74
+86
+12
+3
+-7
+-10
+-10
+-17
+-31
+-43
+16
+7
+-3
+-8
+-10
+-17
+-29
+-41
+23
+14
+4
+-4
+-9
+-17
+-28
+-36
+29
+22
+11
+0
+-10
+-19
+-27
+-32
+34
+28
+17
+1
+-14
+-24
+-29
+-29
+37
+32
+20
+0
+-19
+-30
+-32
+-29
+38
+34
+21
+-1
+-24
+-36
+-36
+-30
+38
+34
+21
+-3
+-27
+-40
+-38
+-31
+-10
+-4
+8
+22
+36
+48
+56
+60
+-14
+-11
+-3
+8
+23
+39
+52
+60
+-17
+-18
+-17
+-10
+6
+27
+49
+62
+-12
+-18
+-24
+-22
+-7
+20
+48
+66
+-3
+-12
+-23
+-25
+-11
+17
+48
+68
+5
+-6
+-19
+-24
+-13
+13
+42
+61
+6
+-4
+-18
+-24
+-16
+5
+31
+48
+5
+-5
+-19
+-25
+-19
+-1
+21
+36
+-6
+-7
+-7
+-7
+-4
+1
+6
+9
+-8
+-7
+-5
+-3
+-1
+1
+2
+3
+-8
+-4
+1
+5
+6
+3
+-2
+-5
+-3
+3
+10
+14
+12
+5
+-4
+-10
+4
+8
+14
+16
+12
+3
+-8
+-15
+6
+7
+8
+6
+0
+-9
+-19
+-24
+1
+-2
+-7
+-13
+-21
+-29
+-34
+-38
+-5
+-10
+-19
+-29
+-37
+-43
+-47
+-48
+4
+0
+-4
+-8
+-8
+-5
+0
+3
+-3
+-8
+-14
+-19
+-18
+-13
+-5
+1
+-11
+-18
+-26
+-32
+-29
+-20
+-8
+0
+-17
+-24
+-33
+-37
+-32
+-20
+-5
+5
+-23
+-27
+-33
+-33
+-27
+-14
+1
+11
+-33
+-33
+-32
+-27
+-18
+-7
+4
+11
+-47
+-42
+-34
+-24
+-13
+-4
+2
+5
+-58
+-50
+-37
+-23
+-12
+-5
+-2
+-1
+-34
+-33
+-29
+-25
+-20
+-17
+-14
+-13
+-30
+-29
+-25
+-22
+-19
+-18
+-17
+-17
+-18
+-17
+-16
+-16
+-18
+-21
+-25
+-28
+-1
+-1
+-3
+-8
+-16
+-28
+-38
+-45
+12
+11
+8
+0
+-14
+-31
+-47
+-57
+9
+10
+9
+4
+-8
+-25
+-42
+-53
+-9
+-4
+2
+4
+-1
+-13
+-25
+-34
+-25
+-18
+-6
+3
+4
+-2
+-11
+-17
+20
+17
+18
+29
+44
+51
+47
+39
+28
+26
+27
+36
+49
+54
+50
+44
+40
+39
+40
+47
+54
+57
+53
+48
+49
+49
+51
+53
+55
+54
+50
+47
+50
+52
+54
+53
+48
+43
+39
+38
+43
+47
+49
+44
+34
+25
+21
+22
+33
+38
+41
+33
+18
+6
+2
+4
+26
+32
+34
+24
+7
+-6
+-10
+-7
+-6
+-33
+-33
+-17
+-14
+-9
+-4
+-12
+0
+-26
+-24
+-5
+1
+9
+16
+9
+6
+-19
+-14
+10
+20
+32
+42
+36
+5
+-18
+-11
+16
+30
+45
+58
+53
+1
+-22
+-14
+13
+28
+43
+57
+53
+-1
+-25
+-18
+7
+20
+33
+45
+41
+2
+-23
+-19
+4
+13
+24
+33
+27
+7
+-19
+-17
+3
+10
+18
+26
+19
+-10
+-9
+-22
+-21
+1
+3
+-11
+-10
+4
+4
+-12
+-14
+5
+4
+-12
+-12
+25
+23
+3
+-3
+11
+5
+-15
+-17
+41
+37
+15
+5
+15
+5
+-18
+-21
+45
+41
+17
+6
+14
+2
+-21
+-25
+36
+32
+10
+-1
+9
+-1
+-24
+-28
+21
+18
+-3
+-10
+2
+-5
+-26
+-29
+9
+8
+-11
+-17
+-2
+-8
+-27
+-29
+-14
+6
+26
+24
+3
+-21
+-32
+-33
+-8
+8
+23
+19
+-1
+-20
+-27
+-26
+2
+11
+17
+10
+-6
+-18
+-19
+-14
+12
+14
+12
+2
+-10
+-14
+-9
+-2
+18
+15
+8
+-2
+-9
+-8
+-1
+7
+20
+14
+5
+-2
+-5
+-1
+6
+11
+19
+12
+4
+0
+1
+5
+9
+11
+18
+11
+4
+2
+5
+9
+11
+11
+-9
+12
+34
+38
+26
+15
+16
+22
+11
+28
+45
+45
+30
+16
+14
+18
+36
+47
+57
+50
+32
+14
+7
+7
+49
+55
+57
+46
+25
+6
+-5
+-9
+45
+46
+43
+31
+14
+-4
+-16
+-22
+30
+28
+23
+15
+4
+-8
+-18
+-24
+15
+12
+7
+4
+0
+-5
+-11
+-16
+6
+2
+-1
+-1
+1
+0
+-4
+-8
+-8
+-14
+-23
+-29
+-26
+-17
+-6
+2
+-1
+-8
+-18
+-25
+-26
+-20
+-11
+-5
+5
+-2
+-12
+-20
+-23
+-20
+-14
+-9
+0
+-5
+-12
+-17
+-17
+-14
+-8
+-3
+-10
+-12
+-14
+-14
+-10
+-4
+3
+7
+-15
+-15
+-13
+-10
+-5
+2
+9
+13
+-10
+-9
+-8
+-5
+-2
+2
+6
+9
+-2
+-2
+-2
+-2
+-1
+0
+1
+2
+34
+42
+53
+57
+50
+32
+11
+-3
+24
+29
+36
+38
+31
+16
+-1
+-12
+11
+13
+15
+12
+6
+-4
+-15
+-22
+5
+3
+0
+-5
+-11
+-17
+-22
+-25
+5
+2
+-2
+-8
+-13
+-17
+-20
+-21
+6
+4
+2
+-2
+-7
+-11
+-14
+-16
+4
+5
+6
+5
+1
+-5
+-11
+-15
+1
+4
+8
+9
+5
+-3
+-11
+-17
+-62
+-67
+-63
+-53
+-47
+-41
+-21
+1
+-77
+-81
+-78
+-68
+-62
+-56
+-36
+-14
+-96
+-101
+-97
+-87
+-81
+-75
+-55
+-33
+-108
+-113
+-109
+-99
+-93
+-87
+-67
+-45
+-110
+-114
+-111
+-101
+-95
+-89
+-69
+-47
+-107
+-111
+-108
+-98
+-92
+-85
+-66
+-43
+-104
+-109
+-105
+-95
+-89
+-83
+-64
+-41
+-104
+-109
+-105
+-95
+-89
+-83
+-63
+-41
+-52
+-54
+-47
+-23
+11
+43
+61
+67
+-45
+-49
+-47
+-28
+4
+36
+59
+68
+-35
+-42
+-45
+-34
+-8
+26
+54
+69
+-25
+-34
+-43
+-40
+-20
+13
+45
+66
+-18
+-27
+-38
+-41
+-28
+1
+35
+58
+-16
+-22
+-32
+-39
+-32
+-9
+24
+47
+-17
+-20
+-27
+-34
+-33
+-15
+14
+37
+-18
+-19
+-23
+-31
+-33
+-18
+8
+30
+21
+34
+42
+40
+40
+41
+33
+19
+23
+37
+45
+44
+44
+47
+39
+26
+26
+40
+50
+50
+52
+56
+49
+36
+29
+44
+54
+54
+57
+62
+55
+43
+33
+47
+56
+56
+58
+62
+55
+43
+35
+49
+57
+55
+55
+56
+48
+35
+37
+50
+56
+52
+49
+49
+38
+24
+38
+50
+55
+49
+45
+43
+32
+16
+-5
+-6
+-7
+-9
+-11
+-13
+-14
+-15
+-1
+0
+0
+-1
+-5
+-11
+-17
+-21
+5
+8
+11
+9
+2
+-10
+-22
+-30
+10
+13
+17
+16
+6
+-11
+-29
+-40
+11
+14
+17
+14
+3
+-16
+-35
+-47
+8
+9
+9
+4
+-8
+-24
+-39
+-49
+4
+2
+-2
+-9
+-20
+-32
+-43
+-49
+1
+-3
+-10
+-19
+-28
+-37
+-44
+-48
+10
+12
+5
+-18
+-45
+-57
+-50
+-38
+19
+21
+14
+-7
+-33
+-47
+-42
+-32
+28
+30
+25
+6
+-17
+-31
+-31
+-25
+29
+31
+28
+15
+-4
+-18
+-23
+-22
+21
+24
+25
+18
+6
+-8
+-16
+-20
+11
+15
+20
+21
+16
+5
+-6
+-13
+5
+10
+19
+27
+28
+21
+8
+-2
+3
+9
+21
+32
+38
+31
+18
+7
+17
+22
+21
+10
+-8
+-20
+-20
+-16
+16
+19
+18
+8
+-8
+-19
+-20
+-17
+13
+15
+13
+4
+-8
+-17
+-19
+-17
+6
+6
+3
+-1
+-8
+-13
+-15
+-15
+-6
+-7
+-9
+-8
+-7
+-6
+-7
+-9
+-20
+-22
+-22
+-15
+-5
+2
+3
+0
+-33
+-36
+-33
+-21
+-3
+10
+12
+10
+-41
+-44
+-40
+-24
+-2
+14
+18
+15
+27
+-1
+-3
+12
+12
+15
+19
+9
+28
+0
+-2
+13
+14
+17
+21
+12
+29
+0
+-1
+14
+16
+19
+24
+15
+26
+-2
+-3
+13
+15
+19
+24
+15
+18
+-9
+-10
+7
+10
+15
+20
+12
+7
+-20
+-20
+-2
+1
+7
+13
+4
+-3
+-30
+-30
+-12
+-8
+-2
+4
+-3
+-10
+-37
+-36
+-18
+-14
+-7
+-1
+-9
+-11
+-9
+-24
+-29
+-12
+-9
+-13
+-4
+-8
+-7
+-24
+-30
+-14
+-13
+-18
+-9
+-5
+-4
+-23
+-31
+-18
+-19
+-26
+-18
+-3
+-4
+-24
+-34
+-23
+-26
+-35
+-28
+-5
+-6
+-27
+-38
+-28
+-31
+-40
+-34
+-11
+-12
+-31
+-42
+-31
+-34
+-43
+-36
+-18
+-17
+-36
+-45
+-33
+-34
+-43
+-35
+-22
+-21
+-40
+-48
+-34
+-35
+-42
+-34
+-12
+-15
+-19
+-21
+-20
+-15
+-8
+-4
+-6
+-11
+-16
+-19
+-15
+-5
+6
+13
+-1
+-7
+-15
+-17
+-10
+6
+24
+36
+-3
+-10
+-19
+-21
+-10
+12
+36
+52
+-11
+-18
+-25
+-24
+-11
+13
+38
+55
+-18
+-22
+-26
+-22
+-8
+14
+37
+51
+-20
+-21
+-20
+-13
+0
+19
+37
+48
+-19
+-18
+-14
+-5
+8
+24
+38
+47
+-45
+-36
+-19
+5
+33
+61
+84
+96
+-32
+-27
+-16
+0
+19
+38
+54
+63
+-15
+-14
+-11
+-6
+1
+10
+18
+23
+-3
+-5
+-7
+-8
+-8
+-6
+-3
+-1
+1
+-1
+-4
+-7
+-8
+-7
+-4
+-3
+0
+-2
+-4
+-6
+-5
+-3
+0
+2
+-2
+-3
+-5
+-7
+-6
+-4
+0
+2
+-2
+-4
+-7
+-9
+-9
+-7
+-4
+-2
+-102
+-101
+-92
+-81
+-77
+-70
+-45
+-17
+-85
+-85
+-76
+-66
+-63
+-57
+-32
+-4
+-58
+-58
+-50
+-41
+-40
+-35
+-12
+15
+-30
+-31
+-25
+-18
+-19
+-16
+6
+32
+-11
+-12
+-8
+-3
+-6
+-5
+15
+41
+-2
+-4
+-2
+1
+-4
+-5
+14
+38
+-2
+-5
+-3
+-2
+-9
+-11
+6
+31
+-4
+-7
+-6
+-6
+-13
+-17
+0
+24
+-11
+-10
+-9
+-8
+-6
+-5
+-4
+-3
+-16
+-13
+-8
+-4
+-1
+-2
+-3
+-5
+-17
+-11
+-1
+8
+11
+8
+3
+-1
+-6
+3
+16
+26
+29
+24
+16
+9
+16
+25
+37
+46
+47
+41
+31
+24
+42
+47
+54
+58
+57
+50
+42
+36
+61
+62
+62
+60
+56
+50
+44
+40
+71
+68
+64
+58
+52
+46
+42
+40
+-13
+-19
+-31
+-46
+-50
+-34
+-3
+22
+-12
+-19
+-33
+-48
+-52
+-36
+-7
+16
+-10
+-20
+-37
+-52
+-55
+-40
+-13
+7
+-5
+-19
+-40
+-56
+-58
+-43
+-20
+-4
+2
+-16
+-41
+-58
+-58
+-45
+-26
+-13
+10
+-11
+-40
+-57
+-57
+-44
+-30
+-20
+18
+-7
+-38
+-56
+-55
+-43
+-31
+-25
+22
+-4
+-36
+-55
+-54
+-42
+-31
+-26
+12
+14
+16
+11
+-4
+-26
+-49
+-63
+13
+17
+21
+18
+6
+-15
+-37
+-51
+14
+20
+27
+28
+19
+1
+-18
+-31
+12
+18
+26
+30
+27
+15
+1
+-8
+6
+10
+16
+21
+22
+20
+15
+12
+-4
+-4
+-3
+0
+7
+15
+22
+27
+-14
+-19
+-24
+-23
+-13
+5
+24
+36
+-20
+-28
+-37
+-38
+-26
+-3
+23
+40
+-17
+-18
+-21
+-26
+-33
+-41
+-48
+-52
+-12
+-15
+-19
+-26
+-35
+-44
+-52
+-56
+-16
+-19
+-25
+-33
+-41
+-49
+-55
+-58
+-37
+-40
+-44
+-49
+-52
+-54
+-55
+-55
+-66
+-68
+-69
+-68
+-64
+-59
+-52
+-48
+-84
+-85
+-84
+-81
+-72
+-60
+-49
+-42
+-83
+-84
+-85
+-82
+-73
+-60
+-47
+-39
+-74
+-77
+-80
+-78
+-71
+-59
+-47
+-39
+-8
+-18
+-29
+-35
+-34
+-33
+-33
+-36
+-7
+-17
+-30
+-36
+-36
+-35
+-37
+-40
+-11
+-21
+-33
+-38
+-37
+-35
+-36
+-38
+-24
+-32
+-40
+-41
+-35
+-29
+-26
+-27
+-37
+-43
+-47
+-43
+-31
+-19
+-13
+-11
+-39
+-44
+-47
+-41
+-27
+-13
+-5
+-3
+-28
+-34
+-39
+-36
+-25
+-13
+-7
+-6
+-17
+-24
+-32
+-31
+-24
+-16
+-13
+-13
+-2
+2
+13
+30
+41
+36
+17
+-1
+-5
+-1
+10
+25
+35
+31
+14
+-2
+-12
+-8
+2
+14
+21
+18
+6
+-6
+-24
+-20
+-11
+-3
+1
+-1
+-7
+-13
+-34
+-29
+-23
+-18
+-17
+-18
+-18
+-18
+-35
+-29
+-24
+-24
+-26
+-25
+-19
+-14
+-26
+-21
+-17
+-19
+-23
+-21
+-11
+-2
+-18
+-12
+-8
+-12
+-18
+-15
+-3
+9
+-7
+-12
+-19
+-27
+-33
+-38
+-41
+-42
+-12
+-13
+-16
+-22
+-28
+-36
+-43
+-46
+-16
+-14
+-11
+-12
+-19
+-30
+-43
+-51
+-16
+-10
+-2
+1
+-6
+-22
+-39
+-51
+-7
+0
+10
+14
+7
+-10
+-29
+-42
+9
+15
+23
+26
+19
+4
+-14
+-26
+26
+30
+35
+36
+29
+15
+1
+-9
+37
+39
+42
+41
+34
+22
+10
+2
+-40
+-33
+-24
+-18
+-16
+-15
+-11
+-8
+-39
+-33
+-25
+-19
+-16
+-13
+-10
+-8
+-36
+-32
+-26
+-19
+-13
+-10
+-9
+-9
+-26
+-26
+-23
+-16
+-9
+-6
+-9
+-12
+-11
+-15
+-16
+-11
+-3
+-2
+-9
+-17
+9
+1
+-6
+-3
+3
+2
+-10
+-23
+28
+16
+4
+4
+9
+5
+-12
+-28
+39
+25
+11
+9
+12
+6
+-13
+-31
+1
+-24
+0
+5
+-5
+-1
+-9
+-3
+0
+-25
+-1
+4
+-6
+-3
+-11
+-5
+0
+-25
+-1
+3
+-8
+-5
+-14
+-8
+1
+-24
+-1
+2
+-9
+-6
+-16
+-11
+5
+-21
+1
+4
+-8
+-6
+-16
+-11
+10
+-16
+6
+8
+-5
+-4
+-14
+-10
+15
+-11
+10
+12
+-2
+-1
+-12
+-7
+18
+-8
+13
+14
+0
+1
+-10
+-6
+-36
+-33
+-28
+-24
+-21
+-21
+-21
+-22
+-35
+-34
+-32
+-30
+-27
+-25
+-22
+-21
+-32
+-34
+-37
+-38
+-35
+-29
+-22
+-18
+-28
+-33
+-39
+-42
+-39
+-31
+-21
+-14
+-23
+-28
+-34
+-38
+-35
+-27
+-16
+-10
+-20
+-22
+-25
+-26
+-23
+-17
+-10
+-6
+-17
+-16
+-14
+-12
+-9
+-7
+-4
+-3
+-15
+-12
+-8
+-3
+0
+0
+-1
+-2
+-7
+10
+20
+13
+5
+-1
+-13
+-27
+-8
+8
+18
+13
+8
+4
+-8
+-23
+-9
+5
+15
+13
+12
+10
+-2
+-18
+-9
+3
+11
+12
+15
+16
+2
+-17
+-8
+1
+7
+9
+15
+17
+1
+-20
+-6
+0
+3
+5
+13
+15
+-4
+-29
+-3
+0
+0
+1
+9
+11
+-11
+-40
+-1
+0
+-2
+-2
+7
+7
+-17
+-47
+24
+20
+14
+5
+-5
+-14
+-20
+-24
+12
+10
+7
+2
+-2
+-7
+-10
+-12
+0
+0
+0
+0
+0
+0
+0
+0
+-2
+-2
+-1
+0
+1
+1
+2
+2
+4
+4
+2
+1
+-1
+-2
+-4
+-4
+9
+8
+5
+2
+-2
+-5
+-8
+-9
+7
+6
+4
+1
+-1
+-4
+-6
+-7
+2
+2
+1
+0
+0
+-1
+-2
+-2
+84
+83
+81
+73
+60
+44
+29
+19
+86
+83
+78
+69
+56
+42
+30
+22
+90
+86
+78
+67
+55
+43
+34
+29
+96
+91
+82
+70
+59
+49
+42
+39
+97
+93
+87
+77
+67
+56
+48
+43
+87
+87
+86
+81
+71
+58
+45
+37
+70
+74
+79
+79
+70
+53
+34
+22
+56
+63
+73
+75
+66
+47
+24
+10
+-23
+-49
+-48
+-57
+-55
+-42
+-40
+-13
+-25
+-51
+-51
+-63
+-62
+-51
+-51
+-24
+-26
+-54
+-56
+-70
+-73
+-64
+-66
+-41
+-26
+-55
+-59
+-75
+-81
+-75
+-79
+-55
+-23
+-53
+-58
+-76
+-83
+-79
+-84
+-60
+-19
+-48
+-53
+-72
+-79
+-74
+-79
+-55
+-14
+-43
+-48
+-65
+-71
+-66
+-70
+-46
+-11
+-40
+-44
+-60
+-66
+-60
+-63
+-39
+38
+9
+26
+24
+8
+9
+0
+5
+35
+6
+24
+22
+7
+8
+-1
+5
+28
+0
+18
+17
+3
+6
+-3
+3
+18
+-10
+9
+10
+-3
+1
+-7
+0
+4
+-23
+-3
+-1
+-12
+-7
+-13
+-6
+-10
+-37
+-15
+-12
+-22
+-16
+-21
+-13
+-22
+-49
+-26
+-22
+-31
+-24
+-28
+-20
+-30
+-55
+-33
+-28
+-36
+-28
+-33
+-24
+-4
+-2
+1
+3
+4
+3
+2
+0
+-7
+-6
+-4
+-2
+0
+0
+-1
+-2
+-8
+-7
+-6
+-4
+-2
+-1
+0
+1
+-11
+-12
+-12
+-11
+-8
+-5
+-1
+1
+-25
+-27
+-28
+-28
+-25
+-19
+-13
+-10
+-37
+-39
+-42
+-42
+-38
+-31
+-23
+-17
+-26
+-30
+-33
+-34
+-30
+-21
+-11
+-4
+-7
+-10
+-15
+-16
+-11
+-1
+9
+17
+-59
+-60
+-50
+-33
+-26
+-30
+-30
+-23
+-54
+-56
+-46
+-28
+-20
+-24
+-22
+-15
+-49
+-51
+-42
+-24
+-16
+-17
+-14
+-5
+-46
+-50
+-42
+-26
+-17
+-18
+-13
+-2
+-42
+-48
+-44
+-30
+-23
+-23
+-17
+-6
+-32
+-40
+-40
+-30
+-26
+-28
+-22
+-11
+-17
+-28
+-31
+-25
+-23
+-27
+-22
+-11
+-6
+-17
+-23
+-19
+-20
+-24
+-20
+-9
+-4
+-4
+-1
+1
+4
+7
+9
+9
+-5
+-5
+-5
+-2
+3
+10
+17
+21
+-5
+-7
+-9
+-6
+2
+16
+30
+38
+-4
+-8
+-11
+-9
+1
+19
+37
+49
+-2
+-6
+-10
+-9
+1
+18
+36
+47
+1
+-2
+-6
+-5
+1
+13
+25
+33
+4
+2
+0
+0
+2
+6
+10
+13
+5
+5
+4
+3
+2
+1
+0
+0
+-16
+-14
+-9
+-3
+3
+9
+14
+17
+-18
+-13
+-6
+2
+8
+12
+13
+13
+-18
+-11
+0
+10
+15
+14
+10
+7
+-18
+-9
+4
+15
+19
+14
+6
+0
+-15
+-7
+5
+15
+17
+11
+2
+-5
+-11
+-6
+2
+8
+9
+4
+-3
+-8
+-7
+-5
+-2
+0
+-1
+-3
+-7
+-9
+-4
+-4
+-5
+-6
+-7
+-8
+-9
+-9
+-23
+-8
+3
+-3
+-13
+-7
+20
+47
+-22
+-9
+1
+-3
+-12
+-6
+21
+46
+-20
+-9
+-1
+-4
+-11
+-4
+21
+44
+-18
+-10
+-3
+-6
+-10
+-1
+22
+41
+-15
+-10
+-6
+-8
+-8
+2
+22
+39
+-13
+-11
+-9
+-9
+-6
+5
+23
+37
+-11
+-11
+-11
+-10
+-5
+7
+23
+35
+-10
+-11
+-12
+-11
+-5
+8
+23
+34
+-14
+-40
+-39
+-52
+-57
+-54
+-63
+-42
+-12
+-38
+-38
+-51
+-57
+-54
+-62
+-40
+-9
+-36
+-37
+-51
+-57
+-52
+-59
+-36
+-4
+-32
+-35
+-51
+-56
+-51
+-55
+-32
+1
+-28
+-33
+-50
+-56
+-49
+-52
+-26
+6
+-25
+-32
+-50
+-55
+-47
+-48
+-22
+10
+-22
+-30
+-49
+-55
+-46
+-45
+-18
+12
+-21
+-30
+-49
+-55
+-45
+-44
+-16
+-38
+-68
+-52
+-52
+-61
+-50
+-50
+-38
+-41
+-71
+-54
+-55
+-64
+-53
+-52
+-41
+-43
+-73
+-56
+-57
+-66
+-55
+-54
+-43
+-41
+-71
+-55
+-55
+-64
+-53
+-53
+-41
+-32
+-63
+-46
+-46
+-56
+-45
+-44
+-33
+-19
+-49
+-33
+-33
+-42
+-31
+-31
+-19
+-5
+-35
+-19
+-19
+-28
+-17
+-17
+-5
+4
+-26
+-10
+-10
+-19
+-9
+-8
+4

Added: trunk/theora-fpga/idctslow/idctslow.vhd
===================================================================
--- trunk/theora-fpga/idctslow/idctslow.vhd	2006-06-01 05:18:14 UTC (rev 11488)
+++ trunk/theora-fpga/idctslow/idctslow.vhd	2006-06-01 06:14:15 UTC (rev 11489)
@@ -0,0 +1,415 @@
+library std;
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+--use ieee.std_logic_arith.all;
+--use ieee.std_logic_unsigned.all;
+--use ieee.std_logic_signed.all;
+
+
+
+entity IDctSlow is
+  port (Clk,
+        Reset_n : in std_logic;
+
+        in_request : out std_logic;
+        in_valid : in std_logic;
+        in_data : in signed(15 downto 0);
+        in_quantmat : in signed(15 downto 0);
+
+        out_requested : in std_logic;
+        out_valid : out std_logic;
+        out_data : out signed(15 downto 0)
+
+        );
+
+end entity IDctSlow;
+
+
+
+
+
+architecture rtl of IDctSlow is
+
+  subtype ogg_int_16_t is signed(15 downto 0);
+  subtype ogg_int_32_t is signed(31 downto 0);
+
+  type mem64_t is array (0 to 63) of ogg_int_16_t;
+  type mem64_32bits_t is array (0 to 63) of ogg_int_32_t;
+
+  signal InData : mem64_t;
+  signal QuantMat : mem64_t;
+  signal OutData : mem64_t;
+
+  signal IntermediateData : mem64_32bits_t;
+  alias ip : mem64_32bits_t is  IntermediateData;
+  alias op : mem64_t is  OutData;
+
+
+  signal s_A, s_B, s_C, s_D, s_Ad, s_Bd, s_Cd, s_Dd, s_E, s_F, s_G, s_H : ogg_int_32_t;
+  signal s_Ed, s_Gd, s_Add, s_Bdd, s_Fd, s_Hd : ogg_int_32_t;
+
+
+
+
+
+  
+-- FSMs
+  type state_t is (readIn,dequant,idct_row,idct_col,proc,writeOut);
+  signal state : state_t;
+
+
+  type idct_row_state_t is (idct_row_st1, idct_row_st2, idct_row_st3, idct_row_st4);
+  signal idct_row_state : idct_row_state_t;
+
+  type idct_col_state_t is (idct_col_st1, idct_col_st2, idct_col_st3, idct_col_st4);
+  signal idct_col_state : idct_col_state_t;
+
+-- Handshake
+--  signal count : std_logic_vector(5 downto 0);
+  subtype tiny_int is integer range 0 to 63;
+  signal count : tiny_int;
+  signal s_in_request : std_logic;
+  signal s_out_valid : std_logic;
+
+
+
+
+
+  
+  type dezigzag_t is array (0 to 63) of unsigned(5 downto 0);
+  constant dezigzag_index : dezigzag_t := (
+    "000000", "000001", "001000", "010000", "001001",
+    "000010", "000011", "001010", "010001", "011000",
+    "100000", "011001", "010010", "001011", "000100",
+    "000101", "001100", "010011", "011010", "100001",
+    "101000", "110000", "101001", "100010", "011011",
+    "010100", "001101", "000110", "000111", "001110",
+    "010101", "011100", "100011", "101010", "110001",
+    "111000", "111001", "110010", "101011", "100100",
+    "011101", "010110", "001111", "010111", "011110",
+    "100101", "101100", "110011", "111010", "111011",
+    "110100", "101101", "100110", "011111", "100111",
+    "101110", "110101", "111100", "111101", "110110",
+    "101111", "110111", "111110", "111111" );
+
+
+
+
+  constant xC1S7 : ogg_int_32_t := "00000000000000001111101100010101";
+  constant xC2S6 : ogg_int_32_t := "00000000000000001110110010000011";
+  constant xC3S5 : ogg_int_32_t := "00000000000000001101010011011011";
+  constant xC4S4 : ogg_int_32_t := "00000000000000001011010100000101";
+  constant xC5S3 : ogg_int_32_t := "00000000000000001000111000111010";
+  constant xC6S2 : ogg_int_32_t := "00000000000000000110000111111000";
+  constant xC7S1 : ogg_int_32_t := "00000000000000000011000111110001";
+
+--   constant xC1S7 : ogg_int_16_t := "1111101100010101";
+--   constant xC2S6 : ogg_int_16_t := "1110110010000011";
+--   constant xC3S5 : ogg_int_16_t := "1101010011011011";
+--   constant xC4S4 : ogg_int_16_t := "1011010100000101";
+--   constant xC5S3 : ogg_int_16_t := "1000111000111010";
+--   constant xC6S2 : ogg_int_16_t := "0110000111111000";
+--   constant xC7S1 : ogg_int_16_t := "0011000111110001";
+
+  
+begin
+
+  in_request <= s_in_request;
+  out_valid <= s_out_valid;
+
+  process(clk)
+    
+    procedure ReadIn is
+    begin
+      s_out_valid <= '0';            -- came from WriteOut, out_valid must be 0
+      s_in_request <= '1';
+
+      if( s_in_request = '1' and in_valid = '1' )then
+
+        InData( count ) <= in_data;
+        QuantMat( count ) <= in_quantmat;
+        
+        if( count = 63 )then
+          state <= dequant;
+          s_in_request <= '0';
+          count <= 0;
+        else
+          count <= count + 1;
+        end if;
+
+
+      end if;
+    end procedure ReadIn;
+                     
+
+    procedure WriteOut is
+    begin
+      out_data <= OutData( count );
+      s_out_valid <= '1';
+      
+      if( out_requested = '1' )then
+        if( count = 63 )then
+          state <= readIn;          -- on readIn state must set out_valid to 0
+          count <= 0;
+        else
+          count <= count + 1;      
+        end if;
+      end if;
+      
+
+    end procedure WriteOut;
+
+
+
+
+
+
+
+
+
+
+    procedure Dequant_slow is
+    begin
+
+      -- IntermediateData(count) = InData(count) * QuantMat(count);
+      IntermediateData( to_integer(dezigzag_index( count )) ) <=
+        InData(count) * QuantMat(count);
+
+      --OutData( to_integer(dezigzag_index( count )) ) <=
+      --    "*"(InData(count) , QuantMat(count))(15 downto 0);
+
+      
+      if( count = 63 )then
+        state <= idct_row;
+        count <= 0;
+      else
+        count <= count + 1;
+      end if;
+
+
+    end procedure Dequant_slow;
+
+
+
+    
+
+
+
+    -- Inverse DCT on the rows now
+    procedure Idct_row is
+    begin
+      case idct_row_state is
+        when idct_row_st1 =>
+          s_A <= shift_right( "*"(xC1S7, ip(1 + count))(31 downto 0), 16 ) +
+                 shift_right( "*"(xC7S1, ip(7 + count))(31 downto 0), 16 );
+          
+          s_B <= shift_right( "*"(xC7S1, ip(1 + count))(31 downto 0), 16 ) -
+                 shift_right( "*"(xC1S7, ip(7 + count))(31 downto 0), 16 );
+
+          s_C <= shift_right( "*"(xC3S5, ip(3 + count))(31 downto 0), 16 ) +
+                 shift_right( "*"(xC5S3, ip(5 + count))(31 downto 0), 16 );
+
+          s_D <= shift_right( "*"(xC3S5, ip(5 + count))(31 downto 0), 16 ) -
+                 shift_right( "*"(xC5S3, ip(3 + count))(31 downto 0), 16 );
+
+          idct_row_state <= idct_row_st2;
+        when idct_row_st2 =>
+          s_Ad <= shift_right( "*"(xC4S4, (s_A - s_C))(31 downto 0), 16 );
+
+          s_Bd <= shift_right( "*"(xC4S4, (s_B - s_D))(31 downto 0), 16 );
+
+          s_Cd <= (s_A + s_C);
+          s_Dd <= (s_B + s_D);
+
+
+          s_E <= shift_right( "*"(xC4S4, (ip(0 + count) + ip(4 + count)) )(31 downto 0), 16 );
+
+          
+          s_F <= shift_right( "*"(xC4S4, (ip(0 + count) - ip(4 + count)) )(31 downto 0), 16 );
+
+          s_G <= shift_right( "*"(xC2S6, ip(2 + count))(31 downto 0), 16 ) +
+                 shift_right( "*"(xC6S2, ip(6 + count))(31 downto 0), 16 );
+
+          s_H <= shift_right( "*"(xC6S2, ip(2 + count))(31 downto 0), 16 ) -
+                 shift_right( "*"(xC2S6, ip(6 + count))(31 downto 0), 16 );
+          
+          idct_row_state <= idct_row_st3;
+
+        when idct_row_st3 =>
+          s_Ed <= (s_E - s_G );
+          s_Gd <= (s_E + s_G );
+
+          s_Add <= (s_F + s_Ad );
+          s_Bdd <= (s_Bd - s_H );
+
+          s_Fd <= (s_F - s_Ad );
+          s_Hd <= (s_Bd + s_H );
+
+          idct_row_state <= idct_row_st4;
+          
+        when idct_row_st4 =>
+          ip(0 + count) <= (s_Gd + s_Cd );
+          ip(7 + count) <= (s_Gd - s_Cd );
+
+          ip(1 + count) <= (s_Add + s_Hd );
+          ip(2 + count) <= (s_Add - s_Hd );
+
+          ip(3 + count) <= (s_Ed + s_Dd );
+          ip(4 + count) <= (s_Ed - s_Dd );
+
+          ip(5 + count) <= (s_Fd + s_Bdd );
+          ip(6 + count) <= (s_Fd - s_Bdd );
+
+          idct_row_state <= idct_row_st1;
+
+          if( count = 56 )then
+            count <= 0;
+            state <= idct_col;
+          else
+            count <= count + 8;    
+          end if;
+          
+
+        when others => null;
+      end case;    
+    end procedure Idct_row;
+
+
+
+
+
+
+
+
+        -- Inverse DCT on the rows now
+    procedure Idct_col is
+    begin
+      case idct_col_state is
+        when idct_col_st1 =>
+          s_A <= shift_right( "*"(xC1S7, ip(1*8 + count))(31 downto 0), 16 ) +
+                 shift_right( "*"(xC7S1, ip(7*8 + count))(31 downto 0), 16 );
+          
+          s_B <= shift_right( "*"(xC7S1, ip(1*8 + count))(31 downto 0), 16 ) -
+                 shift_right( "*"(xC1S7, ip(7*8 + count))(31 downto 0), 16 );
+
+          s_C <= shift_right( "*"(xC3S5, ip(3*8 + count))(31 downto 0), 16 ) +
+                 shift_right( "*"(xC5S3, ip(5*8 + count))(31 downto 0), 16 );
+
+          s_D <= shift_right( "*"(xC3S5, ip(5*8 + count))(31 downto 0), 16 ) -
+                 shift_right( "*"(xC5S3, ip(3*8 + count))(31 downto 0), 16 );
+
+          idct_col_state <= idct_col_st2;
+        when idct_col_st2 =>
+          s_Ad <= shift_right( "*"(xC4S4, (s_A - s_C))(31 downto 0), 16 );
+
+          s_Bd <= shift_right( "*"(xC4S4, (s_B - s_D))(31 downto 0), 16 );
+
+          s_Cd <= (s_A + s_C);
+          s_Dd <= (s_B + s_D);
+
+
+          s_E <= shift_right( "*"(xC4S4, (ip(0*8 + count) + ip(4*8 + count)) )(31 downto 0), 16 );
+          
+          s_F <= shift_right( "*"(xC4S4, (ip(0*8 + count) - ip(4*8 + count)) )(31 downto 0), 16 );
+
+          s_G <= shift_right( "*"(xC2S6, ip(2*8 + count))(31 downto 0), 16 ) +
+                 shift_right( "*"(xC6S2, ip(6*8 + count))(31 downto 0), 16 );
+
+          s_H <= shift_right( "*"(xC6S2, ip(2*8 + count))(31 downto 0), 16 ) -
+                 shift_right( "*"(xC2S6, ip(6*8 + count))(31 downto 0), 16 );
+          
+          idct_col_state <= idct_col_st3;
+
+        when idct_col_st3 =>
+          s_Ed <= (s_E - s_G + 8 );
+          s_Gd <= (s_E + s_G + 8 );
+
+          s_Add <= (s_F + s_Ad + 8 );
+          s_Bdd <= (s_Bd - s_H );
+
+          s_Fd <= (s_F - s_Ad + 8 );
+          s_Hd <= (s_Bd + s_H );
+
+          idct_col_state <= idct_col_st4;
+          
+        when idct_col_st4 =>
+          op(0*8 + count) <= shift_right( (s_Gd + s_Cd ), 4 )(15 downto 0);
+          op(7*8 + count) <= shift_right( (s_Gd - s_Cd ), 4 )(15 downto 0);
+
+          op(1*8 + count) <= shift_right( (s_Add + s_Hd ), 4 )(15 downto 0);
+          op(2*8 + count) <= shift_right( (s_Add - s_Hd ), 4 )(15 downto 0);
+
+          op(3*8 + count) <= shift_right( (s_Ed + s_Dd ), 4 )(15 downto 0);
+          op(4*8 + count) <= shift_right( (s_Ed - s_Dd ), 4 )(15 downto 0);
+
+          op(5*8 + count) <= shift_right( (s_Fd + s_Bdd ), 4 )(15 downto 0);
+          op(6*8 + count) <= shift_right( (s_Fd - s_Bdd ), 4 )(15 downto 0);
+
+          idct_col_state <= idct_col_st1;
+
+          if( count = 7 )then
+            count <= 0;
+            state <= writeOut;
+          else
+            count <= count + 1;    
+          end if;
+          
+
+        when others => null;
+      end case;    
+    end procedure Idct_col;
+
+
+
+    
+
+    
+    procedure Proc is
+    begin
+      
+      OutData( count ) <= ip( count )(15 downto 0);
+
+      if( count = 63 )then
+        state <= writeOut;
+        count <= 0;
+      else
+        count <= count + 1;
+      end if;
+      
+
+    end procedure Proc;
+
+
+
+    
+  begin
+
+    
+     if( Reset_n = '0' ) then
+       state <= readIn;
+       s_in_request <= '0';
+       count <= 0;
+       s_out_valid <= '0';
+       idct_row_state <= idct_row_st1;
+       
+     elsif(clk'event and clk = '1') then
+       case state is
+         when readIn => ReadIn;
+         when dequant => Dequant_slow;
+         when idct_row => Idct_row;
+         when idct_col => Idct_col;
+         when proc => proc;
+         when writeOut => WriteOut;
+
+         when others => ReadIn; state <= readIn;
+       end case;  
+
+     end if;
+  end process;
+
+
+  
+
+
+end rtl;

Added: trunk/theora-fpga/idctslow/tb_idctslow.vhd
===================================================================
--- trunk/theora-fpga/idctslow/tb_idctslow.vhd	2006-06-01 05:18:14 UTC (rev 11488)
+++ trunk/theora-fpga/idctslow/tb_idctslow.vhd	2006-06-01 06:14:15 UTC (rev 11489)
@@ -0,0 +1,107 @@
+library std;
+library ieee;
+
+use ieee.std_logic_1164.all;
+--use ieee.std_logic_arith.all;
+--use ieee.std_logic_unsigned.all;
+--use ieee.std_logic_signed.all;
+use ieee.numeric_std.all;
+--use ieee.std_logic_textio.all;
+use std.textio.all;
+
+
+entity tb_IDctSlow is
+  
+end tb_IDctSlow;
+
+
+architecture behavior of tb_IDctSlow is
+
+  constant clk_period : time := 100 ns;  -- Clock period
+  constant delta : time := clk_period / 4;
+
+  file DataInFile        : text open read_mode is "golden/IN.TB";  -- Input file
+  file QuantMatInFile        : text open read_mode is "golden/IN_QUANT.TB";  -- Input file
+  file OutFile       : text open write_mode is "OUT.DUV";  -- Output file
+--  file FullFile      : text open write_mode is "quadratura.full.output";  -- Full output
+
+  signal end_of_file : boolean;  	-- End of File indicator
+
+  signal clk	     : std_logic := '0';
+  signal resetn      : std_logic;
+
+  signal out_requested : std_logic;
+  signal out_valid : std_logic := '0';
+  signal out_data : signed(15 downto 0);
+  signal out_quantmat : signed(15 downto 0);
+
+  signal in_request : std_logic := '0';
+  signal in_valid : std_logic;
+  signal in_data : signed(15 downto 0);
+
+begin  -- behavior
+
+  idctslow0: entity work.IDctSlow
+    port map(clk, resetn, out_requested, out_valid, out_data, out_quantmat,
+             in_request, in_valid, in_data );
+
+
+  clk <= not clk after clk_period / 2;
+  resetn <= '0', '1' after 7 * clk_period;
+
+  
+  Input : process(clk, resetn)
+
+    variable input_line	  : line;
+    variable aux : integer;
+  begin  -- process ReadInput
+    if (resetn = '0') then
+      end_of_file <= false;
+
+    elsif clk'EVENT and clk = '1' then
+      if ( EndFile(DataInFile) or EndFile(QuantMatInFile) ) then
+	end_of_file <= true;
+      else
+
+        if( out_requested = '1' )then
+          ReadLine( DataInFile, input_line);
+          Read( input_line, aux );
+          out_data <= to_signed(aux,16) after delta;
+        
+          ReadLine( QuantMatInFile, input_line);
+          Read( input_line, aux );
+          out_quantmat <= to_signed(aux,16) after delta;
+          out_valid <= '1' after delta;
+  
+        end if;
+      end if;
+    end if;
+
+  end process Input;
+
+
+
+
+
+  Output : process(clk, resetn)
+
+    variable output_line	  : line;
+  begin  -- process ReadInput
+    if (resetn = '0') then
+    elsif clk'EVENT and clk = '1' then
+      in_request <= '1' after delta;
+      if( in_request = '1' and in_valid = '1' )then
+        --Write(output_line, now, left, 15);
+	Write(output_line, to_integer(in_data));
+	WriteLine(OutFile, output_line);
+      end if;
+    end if;
+
+  end process Output;
+
+
+  assert not end_of_file report "End of Simulation: THIS IS NOT AN ERROR! "&
+    "THIS IS JUST A WAY TO STOP THE SIMULATION." severity failure;
+
+
+end behavior;



More information about the commits mailing list